- Silicon Nanowire Path
- Carbon Path
- Organic / Polymer Path
- Biomolecular-Assembled Circuits
- Chemical Information Processing Path
- Orchestration Path
- Resilience Path
- CRC 912 (HAEC)
- Biological Systems Path
Path G - Resilience
Today, reliability issues already lead to diminishing performance returns when transitioning to smaller CMOS gate lengths. Soon the costs of traditional resilience mechanisms will cancel most of the benefits gained from transitioning to a new technology. The goal of the Resilience Path is to keep the costs of resilience as low as possible by focusing on flexible, application-specific, adaptive resiliency mechanisms. Reliable information processing with unreliable and adjustable components will be researched, taking into account the projected heterogeneity of future systems and the fault characteristics of new materials-inspired technologies.
Path Leader: Prof. Dr. Akash Kumar
Path Co-Leader: Prof. Dr. Thorsten Strufe
Photos: Katharina Knaut
- Prof. Dr. Uwe Aßmann
- Prof. Dr.-Ing. Franz Baader
- Prof. Dr. Christel Baier
- Dr. Pramod Bhatotia
- Prof. Dr. sc. techn. habil. Dipl. Betriebswissenschaften Frank Ellinger
- Prof. Dr.-Ing. Dr. h.c. Gerhard Fettweis
- Prof. Dr.-Ing. Frank Fitzek
- Prof. Dr. Christof Fetzer
- Prof. Dr. Hermann Härtig
- Prof. Dr.-Ing. Eduard Jorswieck
- Prof. Dr. Akash Kumar
- Prof. Dr.-Ing Wolfgang Lehner
- Prof. Dr. Wolfgang E. Nagel
- Prof. Dr.-Ing. habil. Christian Georg Mayr
- Prof. Dr. rer. nat. habil. Stefan Siegmund
- Prof. Dr. Thorsten Strufe
- Dr. Marco Zimmerling
It can be assumed that most post-CMOS technologies, such as the ones investigated in cfAED, will exhibit high error rates. In particular, not only the rate of single event upsets (e.g., bit flips) will increase, but also accelerated aging (e.g., transistor performance degradation) and transistor variability (e.g., threshold voltage). This will result in an increasing rate of transient and permanent errors. To mask these errors, we need to pay a cost in terms of energy, speed, and transistor count. We informally refer to this as the resilience cost. Extrapolating state-of-the-art approaches to future resilience needs, the cost of resilience will eventually prevent the use of new technology generations: the benefits of a new technology must exceed the cost increase of resilience.
The overall goal of the Resilience Path is to reduce the resilience cost. Depending on the context, different emphasis must be given to the costs of energy, speed, and transistor count. For example, a required balance between speed and energy differs widely between a mobile device and high performance servers. The Resilience Path is driven by the hypothesis that a sufficient cost reduction can be achieved by combining the best ideas that exist on different sub-layers. A system can be viewed as a layered system consisting of hardware and software sub-layers. A variety of ideas have been proposed to improve the resilience on each sub-layer. Hence, components that populate these sub-layers come with their own resilience mechanisms.
To achieve a substantial cost reduction, we not only need novel mechanisms but also to orchestrate these mechanisms in an intelligent way. Our general approach to reduce the cost is to dynamically adapt the degree of resilience to the current needs of the applications. Consider, e.g., a banking and a gaming application executed within a browser. The banking application needs to be optimized for integrity, and the gaming application for speed. To allow for such optimizations, we need to explicitly state the resilience requirements of an application. In the simplest case, an application will select its current resilience requirements from a set of pre-specified resilience classes. For more fine-grained control, we will investigate the use of resilience contracts: these contracts can be used to express dynamic resilience requirements negotiated and orchestrated between all sub-layers.
The overall goal of this Path is to reduce the cost of resilience. Our approach is based on the observation that the cost of resilience does not only depend on the error rate and types of the underlying technology but also on the resilience requirements and the inherent resilience of applications, possibly changing during runtime. Hence, our aim is to provide dynamic control of application resilience. In this way, we can orchestrate to only pay the cost of the currently needed degree of resilience. We will perform a dynamic cross-layer reconfiguration to tune the resilience mechanisms that are implemented on the various layers of a computer system. Dynamic resilience control will not only facilitate the adaptation regarding changing application requirements but also with respect to fluctuating error rates caused by, for example, environment changes or aging effects.
Our vision is to use the best resilience mechanisms on each sub-layer and combine them into one resilient computing stack as depicted in the scheme above. We also need to orchestrate these layers within one computer system and potentially, across multiple machines within distributed systems. This Path’s Research Modules are divided into horizontal “layers” (RM L1-4) and vertical “orchestration” modules (RM O1-O3). This Path integrates the expertise of the two new Strategic Professorships Processor Design and Compiler Construction, and of the new ZMDI endowed professorship Circuits for Energy Efficiency. An Research Group Leader (RGL) position Orchestration of Resilience Mechanisms will be created.
SGXBounds Paper Awarded Best Paper Award at EuroSys'17
Published on in RESILIENCE PATH
cfaed, TU Dresden @ EuroSys 2017
Published on in RESILIENCE PATH
Dr. Marco Zimmerling Wins 2015 ACM SIGBED Paul Caspi Memorial Dissertation Award
Published on in RESILIENCE PATH
Resilience Path: Paper to WWW 2016 Accepted
Published on in RESILIENCE PATH
cfaed Paper Accepted at INFOCOM 2016
Published on in RESILIENCE PATH
Inaugural lectures: Prof. Strufe & Prof. Castrillon
Published on in RESILIENCE PATH
Read more … Inaugural lectures: Prof. Strufe & Prof. Castrillon
Publications
2021
- Siva Satyendra Sahoo, Akash Kumar, Martin Decky, Samuel C. B. Wong, Geoff V. Merrett, Yinyuan Zhao, Jiachen Wang, Xiaohang Wang, Amit Kumar Singh, "Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives", Proceedings of the 2021 International Conference on Hardware/Software Codesign and System Synthesis, ACM, Sep 2021. [doi] [Bibtex & Downloads]
Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives
Reference
Siva Satyendra Sahoo, Akash Kumar, Martin Decky, Samuel C. B. Wong, Geoff V. Merrett, Yinyuan Zhao, Jiachen Wang, Xiaohang Wang, Amit Kumar Singh, "Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives", Proceedings of the 2021 International Conference on Hardware/Software Codesign and System Synthesis, ACM, Sep 2021. [doi]
Abstract
Modern embedded systems need to cater for several needs depending upon the application domain in which they are deployed. For example, mixed-critically needs to be considered for real-time and safety-critical systems and energy for battery-operated systems. At the same time, many of these systems demand for their reliability and security as well. With electronic systems being used for increasingly varying type of applications, novel challenges have emerged. For example, with the use of embedded systems in increasingly complex applications that execute tasks with varying priorities, mixed-criticality systems present unique challenges to designing reliable systems. The large design space involved in implementing cross-layer reliability in heterogeneous systems, particularly for mixed-critical systems, poses new research problems. Further, malicious security attacks on these systems pose additional extraordinary challenges in the system design. In this paper, we cover both the industry and academia perspectives of the challenges posed by these emergent aspects of system design towards designing high-performance, energy-efficient, reliable and/or secure embedded systems. We also provide our views on paths forward.
Bibtex
@inproceedings{Sahoo_2021,
doi = {10.1145/3478684.3479246},
url = {https://doi.org/10.1145%2F3478684.3479246},
year = 2021,
month = {sep},
publisher = ,
author = {Siva Satyendra Sahoo and Akash Kumar and Martin Decky and Samuel C. B. Wong and Geoff V. Merrett and Yinyuan Zhao and Jiachen Wang and Xiaohang Wang and Amit Kumar Singh},
title = {Emergent design challenges for embedded systems and paths forward: mixed-criticality, energy, reliability and security perspectives},
booktitle = {Proceedings of the 2021 International Conference on Hardware/Software Codesign and System Synthesis}
}Downloads
ESWEEK_2021_SS [PDF]
Related Paths
Permalink
- Sadia Moriam, Elke Franz, Paul Walther, Akash Kumar, Thorsten Strufe, Gerhard Fettweis, "Efficient Communication Protection of Many-Core Systems against Active Attackers", In Electronics, MDPI AG, vol. 10, no. 3, pp. 238, Jan 2021. [doi] [Bibtex & Downloads]
Efficient Communication Protection of Many-Core Systems against Active Attackers
Reference
Sadia Moriam, Elke Franz, Paul Walther, Akash Kumar, Thorsten Strufe, Gerhard Fettweis, "Efficient Communication Protection of Many-Core Systems against Active Attackers", In Electronics, MDPI AG, vol. 10, no. 3, pp. 238, Jan 2021. [doi]
Bibtex
@article{Moriam_2021,
doi = {10.3390/electronics10030238},
url = {https://doi.org/10.3390%2Felectronics10030238},
year = 2021,
month = {jan},
publisher = {{MDPI} {AG}},
volume = {10},
number = {3},
pages = {238},
author = {Sadia Moriam and Elke Franz and Paul Walther and Akash Kumar and Thorsten Strufe and Gerhard Fettweis},
title = {Efficient Communication Protection of Many-Core Systems against Active Attackers},
journal = {Electronics}
}Downloads
electronics-10-00238-v2 [PDF]
Related Paths
Permalink
2020
- Behnaz Ranjbar, Bardia Safaei, Alireza Ejlali, Akash Kumar, "FANTOM: Fault Tolerant Task-Drop Aware Scheduling for Mixed-Criticality Systems", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, October 2020. [doi] [Bibtex & Downloads]
FANTOM: Fault Tolerant Task-Drop Aware Scheduling for Mixed-Criticality Systems
Reference
Behnaz Ranjbar, Bardia Safaei, Alireza Ejlali, Akash Kumar, "FANTOM: Fault Tolerant Task-Drop Aware Scheduling for Mixed-Criticality Systems", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, October 2020. [doi]
Bibtex
@article{Ranjbar_2020,
doi = {10.1109/access.2020.3031039},
url = {https://doi.org/10.1109%2Faccess.2020.3031039},
year = 2020,
month = {October},
publisher = {Institute of Electrical and Electronics Engineers ({IEEE})},
pages = {1--1},
author = {Behnaz Ranjbar and Bardia Safaei and Alireza Ejlali and Akash Kumar},
title = {{FANTOM}: Fault Tolerant Task-Drop Aware Scheduling for Mixed-Criticality Systems},
journal = {{IEEE} Access}
}Downloads
ACCESS3031039-author-accepted [PDF]
Related Paths
Permalink
- Zahra Ebrahimi, Salim Ullah, Akash Kumar, "SIMDive: Approximate SIMD Soft Multiplier-Divider for FPGAs with Tunable Accuracy", Proceedings of the 2020 on Great Lakes Symposium on VLSI, ACM, Sep 2020. [doi] [Bibtex & Downloads]
SIMDive: Approximate SIMD Soft Multiplier-Divider for FPGAs with Tunable Accuracy
Reference
Zahra Ebrahimi, Salim Ullah, Akash Kumar, "SIMDive: Approximate SIMD Soft Multiplier-Divider for FPGAs with Tunable Accuracy", Proceedings of the 2020 on Great Lakes Symposium on VLSI, ACM, Sep 2020. [doi]
Bibtex
@inproceedings{Ebrahimi_2020,
doi = {10.1145/3386263.3406907},
url = {https://doi.org/10.1145%2F3386263.3406907},
year = 2020,
month = {sep},
publisher = ,
author = {Zahra Ebrahimi and Salim Ullah and Akash Kumar},
title = {{SIMDive}: Approximate {SIMD} Soft Multiplier-Divider for {FPGAs} with Tunable Accuracy},
booktitle = {Proceedings of the 2020 on Great Lakes Symposium on {VLSI}}
}Downloads
SIMDive_GLSVLSI_2020 [PDF]
Related Paths
Permalink
- S. S. Sahoo, B. Veeravalli, A. Kumar, "CL(R)Early: An Early-stage DSE Methodology for Cross-Layer Reliability-aware Heterogeneous Embedded Systems", In Proceeding: 2020 57th ACM/IEEE Design Automation Conference (DAC), pp. 1-6, 2020. [doi] [Bibtex & Downloads]
CL(R)Early: An Early-stage DSE Methodology for Cross-Layer Reliability-aware Heterogeneous Embedded Systems
Reference
S. S. Sahoo, B. Veeravalli, A. Kumar, "CL(R)Early: An Early-stage DSE Methodology for Cross-Layer Reliability-aware Heterogeneous Embedded Systems", In Proceeding: 2020 57th ACM/IEEE Design Automation Conference (DAC), pp. 1-6, 2020. [doi]
Bibtex
@INPROCEEDINGS{9218747,
author={S. S. {Sahoo} and B. {Veeravalli} and A. {Kumar}},
booktitle={2020 57th ACM/IEEE Design Automation Conference (DAC)},
title={CL(R)Early: An Early-stage DSE Methodology for Cross-Layer Reliability-aware Heterogeneous Embedded Systems},
year={2020},
volume={},
number={},
pages={1-6},
doi={10.1109/DAC18072.2020.9218747}}Downloads
CLRIntegTMap_DAC2020_CameraReady(1) [PDF]
Related Paths
Permalink
2019
- M. Mousavi, H. R. Pourshaghaghi, H. Corporaal, A. Kumar, "Scatter Scrubbing: A Method to Reduce SEU Repair Time in FPGA Configuration Memory", In Proceeding: 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp. 1-6, Oct 2019. [doi] [Bibtex & Downloads]
Scatter Scrubbing: A Method to Reduce SEU Repair Time in FPGA Configuration Memory
Reference
M. Mousavi, H. R. Pourshaghaghi, H. Corporaal, A. Kumar, "Scatter Scrubbing: A Method to Reduce SEU Repair Time in FPGA Configuration Memory", In Proceeding: 2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), pp. 1-6, Oct 2019. [doi]
Bibtex
@INPROCEEDINGS{8875431,
author={M. {Mousavi} and H. R. {Pourshaghaghi} and H. {Corporaal} and A. {Kumar}},
booktitle={2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT)},
title={Scatter Scrubbing: A Method to Reduce SEU Repair Time in FPGA Configuration Memory},
year={2019},
volume={},
number={},
pages={1-6},
keywords={Field programmable gate arrays;Redundancy;Single event upsets;Maintenance engineering;Indexes;Fault tolerant systems;FPGA;fault tolerance;SEU;scrubbing;configuration memory},
doi={10.1109/DFT.2019.8875431},
ISSN={},
month={Oct},}Downloads
08875431 [PDF]
Related Paths
Permalink
2018
- Sadia Moriam, Elke Franz, Paul Walther, Akash Kumar, Thorsten Strufe, Gerhard Fettweis, "Protecting Communication in Many-Core Systems against Active Attackers", Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 45–50, May 2018. [Bibtex & Downloads]
Protecting Communication in Many-Core Systems against Active Attackers
Reference
Sadia Moriam, Elke Franz, Paul Walther, Akash Kumar, Thorsten Strufe, Gerhard Fettweis, "Protecting Communication in Many-Core Systems against Active Attackers", Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 45–50, May 2018.
Bibtex
@inproceedings{moriam2018protecting,
title={Protecting Communication in Many-Core Systems against Active Attackers},
author={Moriam, Sadia and Franz, Elke and Walther, Paul and Kumar, Akash and Strufe, Thorsten and Fettweis, Gerhard},
booktitle={Proceedings of the 2018 on Great Lakes Symposium on VLSI},
pages={45--50},
year={2018},
Month={May},
organization={ACM}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Anup Das, Akash Kumar, "Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware", Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 419–422, May 2018. [Bibtex & Downloads]
Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware
Reference
Anup Das, Akash Kumar, "Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware", Proceedings of the 2018 on Great Lakes Symposium on VLSI, pp. 419–422, May 2018.
Bibtex
@inproceedings{das2018dataflow,
title={Dataflow-Based Mapping of Spiking Neural Networks on Neuromorphic Hardware},
author={Das, Anup and Kumar, Akash},
booktitle={Proceedings of the 2018 on Great Lakes Symposium on VLSI},
pages={419--422},
year={2018},
month={May},
organization={ACM}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- B. S. Prabakaran, S. Rehman, M. A. Hanif, S. Ullah, G. Mazaheri, A. Kumar, M. Shafique, "DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems", In Proceeding: 2018 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 917-920, March 2018. [doi] [Bibtex & Downloads]
DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems
Reference
B. S. Prabakaran, S. Rehman, M. A. Hanif, S. Ullah, G. Mazaheri, A. Kumar, M. Shafique, "DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems", In Proceeding: 2018 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 917-920, March 2018. [doi]
Bibtex
@INPROCEEDINGS{8342140,
author={B. S. Prabakaran and S. Rehman and M. A. Hanif and S. Ullah and G. Mazaheri and A. Kumar and M. Shafique},
booktitle={2018 Design, Automation Test in Europe Conference Exhibition (DATE)},
title={DeMAS: An efficient design methodology for building approximate adders for FPGA-based systems},
year={2018},
volume={},
number={},
pages={917-920},
keywords={adders;field programmable gate arrays;logic design;public domain software;DeMAS;FPGA community;RTL;approximate adders;area gain;behavioral model;generic design methodology;latency gain;multibit adder architectures;power-delay product gain;Adders;Approximate computing;Delays;Design methodology;Field programmable gate arrays;Hardware;Table lookup;Adders;Approximate Computing;Area;CAD;Design Flow;Efficiency;FPGA;LUTs;Optimization;Performance;Power},
doi={10.23919/DATE.2018.8342140},
ISSN={},
month={March},}Downloads
DeMAS_DATE_2018 [PDF]
Related Paths
Permalink
- Chin Hau Hoo, Akash Kumar, "ParaDRo: A Parallel Deterministic Router Based on Spatial Partitioning and Scheduling", Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 67–76, 2018. [Bibtex & Downloads]
ParaDRo: A Parallel Deterministic Router Based on Spatial Partitioning and Scheduling
Reference
Chin Hau Hoo, Akash Kumar, "ParaDRo: A Parallel Deterministic Router Based on Spatial Partitioning and Scheduling", Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp. 67–76, 2018.
Bibtex
@inproceedings{hoo2018paradro,
title={ParaDRo: A Parallel Deterministic Router Based on Spatial Partitioning and Scheduling},
author={Hoo, Chin Hau and Kumar, Akash},
booktitle={Proceedings of the 2018 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays},
pages={67--76},
year={2018},
organization={ACM}
}Downloads
ParaDRo_FPGA_18 [PDF]
Related Paths
Permalink
- Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar, "CLRFrame: An Analysis Framework for Designing Cross-Layer Reliability in Embedded Systems", In Proceeding: 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID), pp. 1-6, Jan 2018. [Bibtex & Downloads]
CLRFrame: An Analysis Framework for Designing Cross-Layer Reliability in Embedded Systems
Reference
Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar, "CLRFrame: An Analysis Framework for Designing Cross-Layer Reliability in Embedded Systems", In Proceeding: 2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID), pp. 1-6, Jan 2018.
Bibtex
@INPROCEEDINGS{VLSID2018-siva,
author={Siva Satyendra Sahoo and Bharadwaj Veeravalli and Akash Kumar},
booktitle={2018 31st International Conference on VLSI Design and 2018 17th International Conference on Embedded Systems (VLSID)},
title={CLRFrame: An Analysis Framework for Designing Cross-Layer Reliability in Embedded Systems },
year={2018},
volume={},
number={},
pages={1-6},
keywords={Cross-layer Resilience, Real-time systems, FaultTolerance },
doi={},
ISSN={},
month={Jan},}Downloads
VLSID-2018-siva [PDF]
Related Paths
Permalink
- Siva Satyendra Sahoo, Tuan Duy Anh Nguyen, B. Veeravalli, Akash Kumar, "Lifetime-aware Design Methodology for Dynamic Partially Reconfigurable Systems", In Proceeding: 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 1-6, Jan 2018. [Bibtex & Downloads]
Lifetime-aware Design Methodology for Dynamic Partially Reconfigurable Systems
Reference
Siva Satyendra Sahoo, Tuan Duy Anh Nguyen, B. Veeravalli, Akash Kumar, "Lifetime-aware Design Methodology for Dynamic Partially Reconfigurable Systems", In Proceeding: 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 1-6, Jan 2018.
Bibtex
@INPROCEEDINGS{dprLifeASPDAC,
author={Siva Satyendra Sahoo and Tuan Duy Anh Nguyen and B. Veeravalli and Akash Kumar},
booktitle={2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC)},
title={Lifetime-aware Design Methodology for Dynamic Partially Reconfigurable Systems },
year={2018},
volume={},
number={},
pages={1-6},
keywords={Reconfigurable Computing, Dynamic Partial Reconfiguration, Integer Linear Programming, Network-on-Chip, FPGA Floorplanning},
month={Jan},}Downloads
ASPDAC-2018-siva [PDF]
Related Paths
Permalink
- Linda Herrmann, Christel Baier, Christof Fetzer, Sascha Klüppelholz, Markus Napierkowski, "Formal Parameter Synthesis for Energy-Utility-Optimal Fault Tolerance", In Proceeding: European Workshop on Performance Engineering, pp. 78–93, 2018. [Bibtex & Downloads]
Formal Parameter Synthesis for Energy-Utility-Optimal Fault Tolerance
Reference
Linda Herrmann, Christel Baier, Christof Fetzer, Sascha Klüppelholz, Markus Napierkowski, "Formal Parameter Synthesis for Energy-Utility-Optimal Fault Tolerance", In Proceeding: European Workshop on Performance Engineering, pp. 78–93, 2018.
Bibtex
@inproceedings{herrmann2018formal,
title={Formal Parameter Synthesis for Energy-Utility-Optimal Fault Tolerance},
author={Herrmann, Linda and Baier, Christel and Fetzer, Christof and Kl{\"u}ppelholz, Sascha and Napierkowski, Markus},
booktitle={European Workshop on Performance Engineering},
pages={78--93},
year={2018},
organization={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Ing Linda Herrmann, "Formal Configuration of Fault-Tolerant Systems", 2018. [Bibtex & Downloads]
Formal Configuration of Fault-Tolerant Systems
Reference
Ing Linda Herrmann, "Formal Configuration of Fault-Tolerant Systems", 2018.
Bibtex
@article{herrmann2018formal,
title={Formal Configuration of Fault-Tolerant Systems},
author={Herrmann, Ing Linda},
year={2018}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
2017
- Siavash Ghiasvand, Florina M. Ciorba, "Towards Adaptive Resilience in High Performance Computing", Proceedings of WiP in 25th EUROMICRO International Conference on Parallel, Distributed and Network-based Processing (Grosspietsch, E. and Kloeckner, K.), SEA-Publications-Austria, pp. 5–6, St. Petersburg, Russia, Oct 2017. [Bibtex & Downloads]
Towards Adaptive Resilience in High Performance Computing
Reference
Siavash Ghiasvand, Florina M. Ciorba, "Towards Adaptive Resilience in High Performance Computing", Proceedings of WiP in 25th EUROMICRO International Conference on Parallel, Distributed and Network-based Processing (Grosspietsch, E. and Kloeckner, K.), SEA-Publications-Austria, pp. 5–6, St. Petersburg, Russia, Oct 2017.
Bibtex
@InProceedings{Ghiasvand2017a,
author={Ghiasvand, Siavash and Ciorba, Florina M.},
title={Towards Adaptive Resilience in High Performance Computing},
booktitle={Proceedings of WiP in 25th EUROMICRO International Conference on Parallel, Distributed and Network-based Processing},
year={2017},
editor={Grosspietsch, E. and Kloeckner, K.},
pages={5--6},
address={St. Petersburg, Russia},
month={oct},
publisher={SEA-Publications-Austria},
isbn={978-3-902457-48-6},
url={https://arxiv.org/abs/1706.04345},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Siavash Ghiasvand, Florina M. Ciorba, "Event Pattern Identification in Anonymized System Logs", In International Supercomputing, Jun 2017. [Bibtex & Downloads]
Event Pattern Identification in Anonymized System Logs
Reference
Siavash Ghiasvand, Florina M. Ciorba, "Event Pattern Identification in Anonymized System Logs", In International Supercomputing, Jun 2017.
Bibtex
@Misc{Ghiasvand2017b,
author={Ghiasvand, Siavash and Ciorba, Florina M.},
title= {Event Pattern Identification in Anonymized System Logs},
howpublished={International Supercomputing},
month={jun},
year={2017},
url={http://isc-hpc.com/isc17_ap/presentationdetails.htm?t=presentation&o=1150&a=select&ra=sessiondetails},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Norman A. Rink, Jeronimo Castrillon, "Extending a Compiler Backend for Complete Memory Error Detection", In Proceeding: Lecture Notes in Informatics: Automotive - Safety & Security 2017 (Peter Dencker and Herbert Klenk and Hubert Kelle and Erhard Plödereder), pp. 61–74, May 2017. (Best paper award) [Bibtex & Downloads]
Extending a Compiler Backend for Complete Memory Error Detection
Reference
Norman A. Rink, Jeronimo Castrillon, "Extending a Compiler Backend for Complete Memory Error Detection", In Proceeding: Lecture Notes in Informatics: Automotive - Safety & Security 2017 (Peter Dencker and Herbert Klenk and Hubert Kelle and Erhard Plödereder), pp. 61–74, May 2017. (Best paper award)
Abstract
Technological advances drive hardware to ever smaller feature sizes, causing devices to become more vulnerable to faults. Applications can be protected against errors resulting from faults by adding error detection and recovery measures in software. This is popularly achieved by applying automatic program transformations. However, transformations applied to intermediate program representations are fundamentally incapable of protecting against vulnerabilities that are introduced during compilation. In particular, the compiler backend may introduce additional memory accesses. This report presents an extended compiler backend that protects these accesses against faults in the memory system. It is demonstrated that this enables the detection of all single bit flips in memory. On a subset of SPEC CINT2006 the runtime overhead caused by the extended backend amounts to 1.50x for the 32-bit processor architecture i386, and 1.13x for the 64-bit architecture x86 64.
Bibtex
@InProceedings{rink_automotive17,
author = {Norman A. Rink and Jeronimo Castrillon},
title = {Extending a Compiler Backend for Complete Memory Error Detection},
booktitle = {Lecture Notes in Informatics: Automotive - Safety \& Security 2017},
editor = {Peter Dencker and Herbert Klenk and Hubert Kelle and Erhard Pl{\"o}dereder},
year = {2017},
pages = {61--74},
month = may,
abstract = {Technological advances drive hardware to ever smaller feature sizes, causing devices to become more vulnerable to faults. Applications can be protected against errors resulting from faults by adding error detection and recovery measures in software. This is popularly achieved by applying automatic program transformations. However, transformations applied to intermediate program representations are fundamentally incapable of protecting against vulnerabilities that are introduced during compilation. In particular, the compiler backend may introduce additional memory accesses. This report presents an extended compiler backend that protects these accesses against faults in the memory system. It is demonstrated that this enables the detection of all single bit flips in memory. On a subset of SPEC CINT2006 the runtime overhead caused by the extended backend amounts to 1.50x for the 32-bit processor architecture i386, and 1.13x for the 64-bit architecture x86 64.},
file = {:/Users/jeronimocastrillon/Documents/Academic/mypapers/1705_rink_automotive.pdf:PDF},
isbn = {978-3-88579-663-3},
issn = {1617-5468},
url = {https://dl.gi.de/bitstream/handle/20.500.12116/147/paper04.pdf?sequence=1&isAllowed=y},
}Downloads
1705_rink_automotive [PDF]
Related Paths
Orchestration Path, Resilience Path
Permalink
- Norman A. Rink, Jeronimo Castrillon, "Trading Fault Tolerance for Performance in AN Encoding", Proceedings of the ACM International Conference on Computing Frontiers (CF'17), ACM, pp. 183–190, New York, NY, USA, May 2017. [doi] [Bibtex & Downloads]
Trading Fault Tolerance for Performance in AN Encoding
Reference
Norman A. Rink, Jeronimo Castrillon, "Trading Fault Tolerance for Performance in AN Encoding", Proceedings of the ACM International Conference on Computing Frontiers (CF'17), ACM, pp. 183–190, New York, NY, USA, May 2017. [doi]
Bibtex
@InProceedings{rink_cf17,
author = {Norman A. Rink and Jeronimo Castrillon},
title = {Trading Fault Tolerance for Performance in {AN} Encoding},
booktitle = {Proceedings of the ACM International Conference on Computing Frontiers (CF'17)},
year = {2017},
isbn = {978-1-4503-4487-6},
location = {Siena, Italy},
pages = {183--190},
numpages = {8},
url = {http://doi.acm.org/10.1145/3075564.3075565},
doi = {10.1145/3075564.3075565},
acmid = {3075565},
publisher = {ACM},
address = {New York, NY, USA},
month = may,
}Downloads
1705_Rink_cf [PDF]
Related Paths
Orchestration Path, Resilience Path
Permalink
- Muhammad Shafique, Semeen Rehman, Florian Kriebel, Muhammad Usman Karim Khan, Bruno Zatt, Arun Subramaniyan, Bruno Boessio Vizzotto, Jorg Henkel, "Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding", In IEEE Transactions on Computers, Institute of Electrical and Electronics Engineers (IEEE), vol. 66, no. 4, pp. 560–574, Apr 2017. [doi] [Bibtex & Downloads]
Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding
Reference
Muhammad Shafique, Semeen Rehman, Florian Kriebel, Muhammad Usman Karim Khan, Bruno Zatt, Arun Subramaniyan, Bruno Boessio Vizzotto, Jorg Henkel, "Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding", In IEEE Transactions on Computers, Institute of Electrical and Electronics Engineers (IEEE), vol. 66, no. 4, pp. 560–574, Apr 2017. [doi]
Bibtex
@article{Shafique_2017,
doi = {10.1109/tc.2016.2616313},
url = {https://doi.org/10.1109%2Ftc.2016.2616313},
year = 2017,
month = {apr},
publisher = {Institute of Electrical and Electronics Engineers ({IEEE})},
volume = {66},
number = {4},
pages = {560--574},
author = {Muhammad Shafique and Semeen Rehman and Florian Kriebel and Muhammad Usman Karim Khan and Bruno Zatt and Arun Subramaniyan and Bruno Boessio Vizzotto and Jorg Henkel},
title = {Application-Guided Power-Efficient Fault Tolerance for H.264 Context Adaptive Variable Length Coding},
journal = {{IEEE} Transactions on Computers}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Martin Brüstel, Akash Kumar, "Accounting for Systematic Errors in Approximate Computing", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. [Bibtex & Downloads]
Accounting for Systematic Errors in Approximate Computing
Reference
Martin Brüstel, Akash Kumar, "Accounting for Systematic Errors in Approximate Computing", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017.
Bibtex
@InProceedings{martin2017date,
author = {Br\"ustel, Martin and Kumar, Akash},
title = ,
booktitle = {Proceedings of the 2017 Design, Automation \& Test in Europe Conference \& Exhibition},
year = {2017},
month = {March},
organization = {IEEE},
}Downloads
date-2017-martin [PDF]
Related Paths
Permalink
- Walaa El-Harouni, Semeen Rehman, Bharath Srinivas Prabakaran, Akash Kumar, Rehan Hafiz, Muhammad Shafique, "Embracing Approximate Computing for Energy-Efficient Motion Estimation in High Efficiency Video Coding", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. (Best paper nominee) [Bibtex & Downloads]
Embracing Approximate Computing for Energy-Efficient Motion Estimation in High Efficiency Video Coding
Reference
Walaa El-Harouni, Semeen Rehman, Bharath Srinivas Prabakaran, Akash Kumar, Rehan Hafiz, Muhammad Shafique, "Embracing Approximate Computing for Energy-Efficient Motion Estimation in High Efficiency Video Coding", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. (Best paper nominee)
Bibtex
@InProceedings{semeen2017date,
author = {Walaa El-Harouni and Semeen Rehman and Bharath Srinivas Prabakaran and Akash Kumar and Rehan Hafiz and Muhammad Shafique},
title = {Embracing Approximate Computing for Energy-Efficient Motion Estimation in High Efficiency Video Coding},
booktitle = {Proceedings of the 2017 Design, Automation \& Test in Europe Conference \& Exhibition},
month = {March},
year = {2017},
organization = {IEEE},
}Downloads
DATE_2017_788_OutputPaper [PDF]
Related Paths
Permalink
- Arun Subramaniyan, Semeen Rehman, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Soft Error-Aware Architectural Exploration for Designing Reliability Adaptive Cache Hierarchies in Multi-Cores", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. [Bibtex & Downloads]
Soft Error-Aware Architectural Exploration for Designing Reliability Adaptive Cache Hierarchies in Multi-Cores
Reference
Arun Subramaniyan, Semeen Rehman, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Soft Error-Aware Architectural Exploration for Designing Reliability Adaptive Cache Hierarchies in Multi-Cores", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017.
Bibtex
@InProceedings{semeen2017date2,
author = {Arun Subramaniyan and Semeen Rehman and Muhammad Shafique and Akash Kumar and J\"org Henkel},
title = ,
booktitle = {Proceedings of the 2017 Design, Automation \& Test in Europe Conference \& Exhibition},
year = {2017},
month = {March},
organization = {IEEE}
}Downloads
DATE_2017_597_OutputPaper [PDF]
Related Paths
Permalink
- Marco Zimmerling, Luca Mottola, Pratyush Kumar, Federico Ferrari, Lothar Thiele, "Adaptive Real-Time Communication for Wireless Cyber-Physical Systems", In ACM Transactions on Cyber-Physical Systems, Association for Computing Machinery (ACM), vol. 1, no. 2, pp. 1–29, Feb 2017. [doi] [Bibtex & Downloads]
Adaptive Real-Time Communication for Wireless Cyber-Physical Systems
Reference
Marco Zimmerling, Luca Mottola, Pratyush Kumar, Federico Ferrari, Lothar Thiele, "Adaptive Real-Time Communication for Wireless Cyber-Physical Systems", In ACM Transactions on Cyber-Physical Systems, Association for Computing Machinery (ACM), vol. 1, no. 2, pp. 1–29, Feb 2017. [doi]
Bibtex
@article{Zimmerling_2017,
doi = {10.1145/3012005},
url = {https://doi.org/10.1145%2F3012005},
year = 2017,
month = {feb},
publisher = {Association for Computing Machinery ({ACM})},
volume = {1},
number = {2},
pages = {1--29},
author = {Marco Zimmerling and Luca Mottola and Pratyush Kumar and Federico Ferrari and Lothar Thiele},
title = {Adaptive Real-Time Communication for Wireless Cyber-Physical Systems},
journal = {{ACM} Transactions on Cyber-Physical Systems}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefanie Roos, Martin Byrenheid, Clemens Deusser, Thorsten Strufe, "BD-CAT: Balanced Dynamic Content Addressing in Trees", In Proceeding: IEEE INFOCOM, 2017. [Bibtex & Downloads]
BD-CAT: Balanced Dynamic Content Addressing in Trees
Reference
Stefanie Roos, Martin Byrenheid, Clemens Deusser, Thorsten Strufe, "BD-CAT: Balanced Dynamic Content Addressing in Trees", In Proceeding: IEEE INFOCOM, 2017.
Bibtex
@inproceedings{roos16anonymous,
title = {BD-CAT: Balanced Dynamic Content Addressing in Trees},
author = {Stefanie Roos and Martin Byrenheid and Clemens Deusser and Thorsten Strufe},
booktitle = {IEEE INFOCOM},
year = 2017
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dmitrii Kuvaiskii, Oleksii Oleksenko, Sergei Arnautov, Bohdan Trach, Pramod Bhatotia, Pascal Felber, Christof Fetzer, "SGXBounds: Memory Safety for Shielded Execution", Proceedings of the 2017 ACM European Conference on Computer Systems (EuroSys), 2017. [Bibtex & Downloads]
SGXBounds: Memory Safety for Shielded Execution
Reference
Dmitrii Kuvaiskii, Oleksii Oleksenko, Sergei Arnautov, Bohdan Trach, Pramod Bhatotia, Pascal Felber, Christof Fetzer, "SGXBounds: Memory Safety for Shielded Execution", Proceedings of the 2017 ACM European Conference on Computer Systems (EuroSys), 2017.
Bibtex
@inproceedings{Kuvaiskii2017SGXBou,
title={SGXBounds: Memory Safety for Shielded Execution},
author={Kuvaiskii, Dmitrii and Oleksenko, Oleksii and Arnautov, Sergei and Trach, Bohdan and Bhatotia, Pramod and Felber, Pascal and Fetzer, Christof},
year={2017},
booktitle={Proceedings of the 2017 ACM European Conference on Computer Systems (EuroSys)},
url={http://se.inf.tu-dresden.de/pubs/papers/sgxbounds2017.pdf},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Sina Mahmoodi Khorandi, Siavash Ghiasvand, Mohsen Sharifi, "Reducing Load Imbalance of Virtual Clusters via Reconfiguration and Adaptive Job Scheduling", Proceedings of the 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, IEEE Press, pp. 992–999, Piscataway, NJ, USA, 2017. [doi] [Bibtex & Downloads]
Reducing Load Imbalance of Virtual Clusters via Reconfiguration and Adaptive Job Scheduling
Reference
Sina Mahmoodi Khorandi, Siavash Ghiasvand, Mohsen Sharifi, "Reducing Load Imbalance of Virtual Clusters via Reconfiguration and Adaptive Job Scheduling", Proceedings of the 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing, IEEE Press, pp. 992–999, Piscataway, NJ, USA, 2017. [doi]
Bibtex
@InProceedings{Khorandi2017a,
author={Khorandi, Sina Mahmoodi and Ghiasvand, Siavash and Sharifi, Mohsen},
title={Reducing Load Imbalance of Virtual Clusters via Reconfiguration and Adaptive Job Scheduling},
booktitle={Proceedings of the 17th IEEE/ACM International Symposium on Cluster, Cloud and Grid Computing},
year={2017},
series={CCGrid '17},
pages={992--999},
address={Piscataway, NJ, USA},
publisher={IEEE Press},
acmid={3101264},
doi={10.1109/CCGRID.2017.60},
isbn={978-1-5090-6610-0},
location={Madrid, Spain}, numpages={8},
url={https://doi.org/10.1109/CCGRID.2017.60},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- David Müller andSalomon Sickert, "LTL to Deterministic Emerson-Lei Automata", In Proceeding: Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF), 2017. [Bibtex & Downloads]
LTL to Deterministic Emerson-Lei Automata
Reference
David Müller andSalomon Sickert, "LTL to Deterministic Emerson-Lei Automata", In Proceeding: Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF), 2017.
Bibtex
@inproceedings{MS17,
author = {David M{\"u}ller and
Salomon Sickert},
title = {LTL to Deterministic Emerson-Lei Automata},
booktitle = {Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF)},
year = {2017},
note = {Accepted for publication},
}Downloads
No Downloads available for this publication
Related Paths
Orchestration Path, Resilience Path
Permalink
- Lisa Hutschenreiter, Christel Baier, Joachim Klein, "Parametric Markov Chains: PCTL Complexity and Fraction-free Gaussian Elimination", In Proceeding: Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF), 2017. [Bibtex & Downloads]
Parametric Markov Chains: PCTL Complexity and Fraction-free Gaussian Elimination
Reference
Lisa Hutschenreiter, Christel Baier, Joachim Klein, "Parametric Markov Chains: PCTL Complexity and Fraction-free Gaussian Elimination", In Proceeding: Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF), 2017.
Bibtex
@inproceedings{HBK17,
author = {Lisa Hutschenreiter and Christel Baier and Joachim Klein},
title = {Parametric Markov Chains: {PCTL} Complexity and Fraction-free {Gaussian} Elimination},
booktitle = {Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF)},
year = {2017},
note = {Accepted for publication},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Philipp Chrszon, Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "ProFeat: Feature-oriented Engineering for Family-based Probabilistic Model Checking", In Formal Aspects of Computing, 2017. [Bibtex & Downloads]
ProFeat: Feature-oriented Engineering for Family-based Probabilistic Model Checking
Reference
Philipp Chrszon, Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "ProFeat: Feature-oriented Engineering for Family-based Probabilistic Model Checking", In Formal Aspects of Computing, 2017.
Bibtex
@article{CDKB17,
author = {Philipp Chrszon and Clemens Dubslaff and Sascha Kl{\"u}ppelholz and Christel Baier},
title = {{ProFeat}: Feature-oriented Engineering for Family-based Probabilistic Model Checking},
journal = {Formal Aspects of Computing},
year = {2017},
note = {Accepted for publication},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Linda Leuschner, Martin Küttler, Tobias Stumpf, Christel Baier, Hermann Härtig, Sascha Klüppelholz, "Towards Automated Configuration of Systems with Non-Functional Constraints", Proceedings of the 16th Workshop on Hot Topics in Operating Systems (HotOS), 2017. [Bibtex & Downloads]
Towards Automated Configuration of Systems with Non-Functional Constraints
Reference
Linda Leuschner, Martin Küttler, Tobias Stumpf, Christel Baier, Hermann Härtig, Sascha Klüppelholz, "Towards Automated Configuration of Systems with Non-Functional Constraints", Proceedings of the 16th Workshop on Hot Topics in Operating Systems (HotOS), 2017.
Bibtex
@inproceedings{LKSBHS17,
author = {Linda Leuschner and Martin K{\"u}ttler and Tobias Stumpf and Christel Baier and Hermann H{\"a}rtig and Sascha Kl{\"u}ppelholz},
title = {Towards Automated Configuration of Systems with Non-Functional Constraints},
booktitle = {Proceedings of the 16th Workshop on Hot Topics in Operating Systems (HotOS)},
year = {2017},
note = {Accepted for publication},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Steffen Märcker, Christel Baier, Joachim Klein, Sascha Klüppelholz, "Computing Conditional Probabilities: Implementation and Evaluation", In Proceeding: Proc. of the 15th International Conference on Software Engineering and Formal Methods (SEFM), 2017. [Bibtex & Downloads]
Computing Conditional Probabilities: Implementation and Evaluation
Reference
Steffen Märcker, Christel Baier, Joachim Klein, Sascha Klüppelholz, "Computing Conditional Probabilities: Implementation and Evaluation", In Proceeding: Proc. of the 15th International Conference on Software Engineering and Formal Methods (SEFM), 2017.
Bibtex
@inproceedings{MBKK17,
author = {Steffen M{\"a}rcker and Christel Baier and Joachim Klein and Sascha Kl{\"u}ppelholz},
title = {Computing Conditional Probabilities: Implementation and Evaluation},
booktitle = {Proc. of the 15th International Conference on Software Engineering and Formal Methods (SEFM)},
year = {2017},
note = {Accepted for publication},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Clemens Dubslaff, \v Luboš Koren\v ciak, Antonín Ku\v cera, Vojt\v ech \v Rehák, "Mean-Payoff Optimization in Continuous-Time Markov Chains with Parametric Alarms", In Proceeding: Proc. of the 14th International Conference on Quantitative Evaluation of Systems (QEST), 2017. [Bibtex & Downloads]
Mean-Payoff Optimization in Continuous-Time Markov Chains with Parametric Alarms
Reference
Christel Baier, Clemens Dubslaff, \v Luboš Koren\v ciak, Antonín Ku\v cera, Vojt\v ech \v Rehák, "Mean-Payoff Optimization in Continuous-Time Markov Chains with Parametric Alarms", In Proceeding: Proc. of the 14th International Conference on Quantitative Evaluation of Systems (QEST), 2017.
Bibtex
@inproceedings{BDKKR17a,
author = {Christel Baier and Clemens Dubslaff and {\v L}ubo{\v s} Koren{\v c}iak and Anton{\'i}n Ku{\v c}era and Vojt{\v e}ch {\v R}eh{\'a}k},
title = {Mean-Payoff Optimization in Continuous-Time {Markov} Chains with Parametric Alarms},
booktitle = {Proc. of the 14th International Conference on Quantitative Evaluation of Systems (QEST)},
year = 2017,
note = {Accepted for publication},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Clemens Dubslaff, Antonín Ku\vcera, Vojt\vech \vRehák, others, "Synthesis of optimal resilient control strategies", In Proceeding: International Symposium on Automated Technology for Verification and Analysis, pp. 417–434, 2017. [Bibtex & Downloads]
Synthesis of optimal resilient control strategies
Reference
Christel Baier, Clemens Dubslaff, Antonín Ku\vcera, Vojt\vech \vRehák, others, "Synthesis of optimal resilient control strategies", In Proceeding: International Symposium on Automated Technology for Verification and Analysis, pp. 417–434, 2017.
Bibtex
@inproceedings{baier2017synthesis,
title={Synthesis of optimal resilient control strategies},
author={Baier, Christel and Dubslaff, Clemens and Ku{\v{c}}era, Anton{\'\i}n and {\v{R}}eh{\'a}k, Vojt{\v{e}}ch and others},
booktitle={International Symposium on Automated Technology for Verification and Analysis},
pages={417--434},
year={2017},
organization={Springer}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Joachim Klein, Linda Leuschner, David Parker, Sascha Wunderlich, "Ensuring the Reliability of Your Model Checker: Interval Iteration for Markov Decision Processes", In Proceeding: Proc. of the 29th International Conference on Computer Aided Verification (CAV), Part I, Springer, vol. 10426, pp. 160–180, 2017. [Bibtex & Downloads]
Ensuring the Reliability of Your Model Checker: Interval Iteration for Markov Decision Processes
Reference
Christel Baier, Joachim Klein, Linda Leuschner, David Parker, Sascha Wunderlich, "Ensuring the Reliability of Your Model Checker: Interval Iteration for Markov Decision Processes", In Proceeding: Proc. of the 29th International Conference on Computer Aided Verification (CAV), Part I, Springer, vol. 10426, pp. 160–180, 2017.
Bibtex
@inproceedings{BKLPW17,
author = {Christel Baier and Joachim Klein and Linda Leuschner and David Parker and Sascha Wunderlich},
title = {Ensuring the Reliability of Your Model Checker: Interval Iteration for Markov Decision Processes},
booktitle = {Proc. of the 29th International Conference on Computer Aided Verification (CAV), Part {I}},
series = {Lecture Notes in Computer Science},
volume = {10426},
pages = {160--180},
publisher = {Springer},
year = {2017},
ee = {http://dx.doi.org/10.1007/978-3-319-63387-9_8},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Joachim Klein, Christel Baier, Philipp Chrszon, Marcus Daum, Clemens Dubslaff, Sascha Klüppelholz, Steffen Märcker, David Müller, "Advances in probabilistic model checking with PRISM: variable reordering, quantiles and weak deterministic Büchi automata", In International Journal on Software Tools for Technology Transfer, pp. 1–16, 2017. [Bibtex & Downloads]
Advances in probabilistic model checking with PRISM: variable reordering, quantiles and weak deterministic Büchi automata
Reference
Joachim Klein, Christel Baier, Philipp Chrszon, Marcus Daum, Clemens Dubslaff, Sascha Klüppelholz, Steffen Märcker, David Müller, "Advances in probabilistic model checking with PRISM: variable reordering, quantiles and weak deterministic Büchi automata", In International Journal on Software Tools for Technology Transfer, pp. 1–16, 2017.
Bibtex
@article{KBCDDKMM17,
author = {Joachim Klein and Christel Baier and Philipp Chrszon and Marcus Daum and Clemens Dubslaff and Sascha Kl{\"u}ppelholz and Steffen M{\"a}rcker and David M{\"u}ller},
title = {Advances in probabilistic model checking with {PRISM}: variable reordering, quantiles and weak deterministic {B\"uchi} automata},
journal = {International Journal on Software Tools for Technology Transfer},
year = {2017},
pages = {1--16},
ee = {http://dx.doi.org/10.1007/s10009-017-0456-3},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Maximizing the Conditional Expected Reward for Reaching the Goal", In Proceeding: Proc. of the 23rd International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Part II, Springer, vol. 10206, pp. 269–285, 2017. [Bibtex & Downloads]
Maximizing the Conditional Expected Reward for Reaching the Goal
Reference
Christel Baier, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Maximizing the Conditional Expected Reward for Reaching the Goal", In Proceeding: Proc. of the 23rd International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Part II, Springer, vol. 10206, pp. 269–285, 2017.
Bibtex
@inproceedings{BKKW17,
author = {Christel Baier and Joachim Klein and Sascha Kl{\"u}ppelholz and Sascha Wunderlich},
title = {Maximizing the Conditional Expected Reward for Reaching the Goal},
booktitle = {Proc. of the 23rd International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Part {II}},
publisher = {Springer},
series = {Lecture Notes in Computer Science},
volume = {10206},
pages = {269--285},
year = {2017},
ee = {http://dx.doi.org/10.1007/978-3-662-54580-5_16},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Daniel Borchmann, Tom Hanika, Sergei Obiedkov, "On the Usability of Probably Approximately Correct Implication Bases", In arXiv preprint arXiv:1701.00877, 2017. [Bibtex & Downloads]
On the Usability of Probably Approximately Correct Implication Bases
Reference
Daniel Borchmann, Tom Hanika, Sergei Obiedkov, "On the Usability of Probably Approximately Correct Implication Bases", In arXiv preprint arXiv:1701.00877, 2017.
Bibtex
@article{borchmann2017usability,
title={On the Usability of Probably Approximately Correct Implication Bases},
author={Borchmann, Daniel and Hanika, Tom and Obiedkov, Sergei},
journal={arXiv preprint arXiv:1701.00877},
year={2017}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefanie Roos, Martin Byrenheid, Clemens Deusser, Thorsten Strufe, "Balanced Dynamic Content Addressing in Trees", In arXiv preprint arXiv:1701.03522, 2017. [Bibtex & Downloads]
Balanced Dynamic Content Addressing in Trees
Reference
Stefanie Roos, Martin Byrenheid, Clemens Deusser, Thorsten Strufe, "Balanced Dynamic Content Addressing in Trees", In arXiv preprint arXiv:1701.03522, 2017.
Bibtex
@article{roos2017balanced,
title={Balanced Dynamic Content Addressing in Trees},
author={Roos, Stefanie and Byrenheid, Martin and Deusser, Clemens and Strufe, Thorsten},
journal={arXiv preprint arXiv:1701.03522},
year={2017}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christel Baier, Sascha Klüppelholz, Sascha Wunderlich, "Towards automated variant selection for heterogeneous tiled architectures", Chapter in Models, Algorithms, Logics and Tools, Springer, pp. 382–399, 2017. [Bibtex & Downloads]
Towards automated variant selection for heterogeneous tiled architectures
Reference
Christel Baier, Sascha Klüppelholz, Sascha Wunderlich, "Towards automated variant selection for heterogeneous tiled architectures", Chapter in Models, Algorithms, Logics and Tools, Springer, pp. 382–399, 2017.
Bibtex
@incollection{baier2017towards,
title={Towards automated variant selection for heterogeneous tiled architectures},
author={Baier, Christel and Kl{\"u}ppelholz, Sascha and Wunderlich, Sascha},
booktitle={Models, Algorithms, Logics and Tools},
pages={382--399},
year={2017},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
2016
- Semeen Rehman, Walaa El-Harouni, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Architectural-Space Exploration of Approximate Multipliers", Proceedings of the International Conference on Computer-Aided Design (ICCAD), Nov 2016. [Bibtex & Downloads]
Architectural-Space Exploration of Approximate Multipliers
Reference
Semeen Rehman, Walaa El-Harouni, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Architectural-Space Exploration of Approximate Multipliers", Proceedings of the International Conference on Computer-Aided Design (ICCAD), Nov 2016.
Bibtex
@InProceedings{semeen2016iccad,
Title= ,
Author= {Semeen Rehman and Walaa El-Harouni and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel},
Booktitle= {Proceedings of the International Conference on Computer-Aided Design (ICCAD)},
month={nov},
dates={7-10},
Year= {2016}
}Downloads
ICCAD_2017_ApproxMult [PDF]
Related Paths
Permalink
- Siavash Ghiasvand, Florina M Ciorba, Wolfgang E Nagel, "Turning Privacy Constraints into Syslog Analysis Advantage", In The International Conference for High Performance Computing, Networking, Storage and Analysis, Nov 2016. [Bibtex & Downloads]
Turning Privacy Constraints into Syslog Analysis Advantage
Reference
Siavash Ghiasvand, Florina M Ciorba, Wolfgang E Nagel, "Turning Privacy Constraints into Syslog Analysis Advantage", In The International Conference for High Performance Computing, Networking, Storage and Analysis, Nov 2016.
Bibtex
@Misc{Ghiasvand2016c,
author={Ghiasvand, Siavash and Ciorba, Florina M and Nagel, Wolfgang E},
title={Turning Privacy Constraints into Syslog Analysis Advantage},
howpublished={The International Conference for High Performance Computing, Networking, Storage and Analysis}, month={nov},
year={2016},
url={http://sc16.supercomputing.org/sc-archive/tech_poster/tech_poster_pages/post161.html},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Kuan-Hsun Chen, Jian-Jia Chen, Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jorg Henkel, "Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity", In IEEE Transactions on Computers, Institute of Electrical and Electronics Engineers (IEEE), vol. 65, no. 11, pp. 3441–3455, Nov 2016. [doi] [Bibtex & Downloads]
Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity
Reference
Kuan-Hsun Chen, Jian-Jia Chen, Florian Kriebel, Semeen Rehman, Muhammad Shafique, Jorg Henkel, "Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity", In IEEE Transactions on Computers, Institute of Electrical and Electronics Engineers (IEEE), vol. 65, no. 11, pp. 3441–3455, Nov 2016. [doi]
Bibtex
@article{Chen_2016,
doi = {10.1109/tc.2016.2532862},
url = {https://doi.org/10.1109%2Ftc.2016.2532862},
year = 2016,
month = {nov},
publisher = {Institute of Electrical and Electronics Engineers ({IEEE})},
volume = {65},
number = {11},
pages = {3441--3455},
author = {Kuan-Hsun Chen and Jian-Jia Chen and Florian Kriebel and Semeen Rehman and Muhammad Shafique and Jorg Henkel},
title = {Task Mapping for Redundant Multithreading in Multi-Cores with Reliability and Performance Heterogeneity},
journal = {{IEEE} Transactions on Computers}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Paolo Valerio Testa, Corrado Carta, Frank Ellinger, "Analysis and Design of a 220-GHz Wideband SiGe BiCMOS Distributed Active Combiner", In IEEE Transactions on Microwave Theory and Techniques, vol. 64, no. 10, pp. 3049–3059, Oct 2016. [doi] [Bibtex & Downloads]
Analysis and Design of a 220-GHz Wideband SiGe BiCMOS Distributed Active Combiner
Reference
Paolo Valerio Testa, Corrado Carta, Frank Ellinger, "Analysis and Design of a 220-GHz Wideband SiGe BiCMOS Distributed Active Combiner", In IEEE Transactions on Microwave Theory and Techniques, vol. 64, no. 10, pp. 3049–3059, Oct 2016. [doi]
Bibtex
@article{Testa2016,
author = {Testa, Paolo Valerio and Carta, Corrado and Ellinger, Frank},
doi = {10.1109/TMTT.2016.2604384},
journal = {IEEE Transactions on Microwave Theory and Techniques},
keywords = {AIM,DAAB,HAEC-01,SPARS,cfAED-Res},
mendeley-groups = {CC-Own/Jorunal},
mendeley-tags = {AIM,DAAB,HAEC-01,SPARS,cfAED-Res},
month = {oct},
number = {10},
pages = {3049--3059},
title = ,
url = {http://ieeexplore.ieee.org/document/7572198/},
volume = {64},
year = {2016}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar, "Cross-layer fault-tolerant design of real-time systems", In Proceeding: International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp. 1–6, Sept 2016. [Bibtex & Downloads]
Cross-layer fault-tolerant design of real-time systems
Reference
Siva Satyendra Sahoo, Bharadwaj Veeravalli, Akash Kumar, "Cross-layer fault-tolerant design of real-time systems", In Proceeding: International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp. 1–6, Sept 2016.
Bibtex
@INPROCEEDINGS{sssahooDFT16,
author={Siva Satyendra Sahoo and Bharadwaj Veeravalli and Akash Kumar},
booktitle={International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS)},
title={Cross-layer fault-tolerant design of real-time systems},
year={2016},
pages={1--6},
month={Sept}}Downloads
DFT_cam_ready_Certified [PDF]
Related Paths
Permalink
- Benjamin Schiller, Clemens Deusser, Jeronimo Castrillon, Thorsten Strufe, "Compile- and Run-time Approaches for the Selection of Efficient Data Structures for Dynamic Graph Analysis", In Journal of Applied Network Science, vol. 1, no. 9, pp. 1–22, Sep 2016. [doi] [Bibtex & Downloads]
Compile- and Run-time Approaches for the Selection of Efficient Data Structures for Dynamic Graph Analysis
Reference
Benjamin Schiller, Clemens Deusser, Jeronimo Castrillon, Thorsten Strufe, "Compile- and Run-time Approaches for the Selection of Efficient Data Structures for Dynamic Graph Analysis", In Journal of Applied Network Science, vol. 1, no. 9, pp. 1–22, Sep 2016. [doi]
Bibtex
@Article{schiller16_jans,
author = {Benjamin Schiller and Clemens Deusser and Jeronimo Castrillon and Thorsten Strufe},
title = {Compile- and Run-time Approaches for the Selection of Efficient Data Structures for Dynamic Graph Analysis},
journal = {Journal of Applied Network Science},
year = {2016},
volume = {1},
number = {9},
pages = {1--22},
month = sep,
doi = {10.1007/s41109-016-0011-2},
url= {http://dynamic-networks.org/publications/papers/papers/gds-dynamic.pdf}
}Downloads
1607_Schiller_JANS [PDF]
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Chia-Yu Liu, Y. W. P. Hong, Pin-Hsun Lin, E. A. Jorswieck, "Jamming-resistant frequency hopping system with secret key generation from channel observations", In Proceeding: 2016 IEEE Information Theory Workshop (ITW), pp. 46-50, Sept 2016. [doi] [Bibtex & Downloads]
Jamming-resistant frequency hopping system with secret key generation from channel observations
Reference
Chia-Yu Liu, Y. W. P. Hong, Pin-Hsun Lin, E. A. Jorswieck, "Jamming-resistant frequency hopping system with secret key generation from channel observations", In Proceeding: 2016 IEEE Information Theory Workshop (ITW), pp. 46-50, Sept 2016. [doi]
Bibtex
@inproceedings{7606793,
Author = {Chia-Yu Liu and Y. W. P. Hong and Pin-Hsun Lin and E. A. Jorswieck},
Booktitle = {2016 IEEE Information Theory Workshop (ITW)},
Date-Added = {2016-11-07 06:43:30 +0000},
Date-Modified = {2016-11-07 06:43:30 +0000},
Doi = {10.1109/ITW.2016.7606793},
Keywords = {Channel estimation;Conferences;Data communication;Jamming;Spread spectrum communication;Training},
Month = {Sept},
Pages = {46-50},
Title = {Jamming-resistant frequency hopping system with secret key generation from channel observations},
Year = {2016},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/ITW.2016.7606793}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors", In IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. PP, no. 99, pp. 1-14, Aug 2016. [doi] [Bibtex & Downloads]
Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors
Reference
Amit Kumar Singh, Muhammad Shafique, Akash Kumar, Jörg Henkel, "Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors", In IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. PP, no. 99, pp. 1-14, Aug 2016. [doi]
Bibtex
@ARTICLE{amit2016tvlsi,
author={Amit Kumar Singh and Muhammad Shafique and Akash Kumar and J{\"{o}}rg Henkel},
journal={IEEE Transactions on Very Large Scale Integration (VLSI) Systems},
title={Analysis and Mapping for Thermal and Energy Efficiency of 3-D Video Processing on 3-D Multicore Processors},
year={2016},
volume={PP},
number={99},
pages={1-14},
keywords={Acceleration;Correlation;Multicore processing;Prediction algorithms;Through-silicon vias;Throughput;3-D multicore;3-D video;design-time analysis;interconnect energy;synchronous dataflow;thermal-aware mapping;throughput.},
doi={10.1109/TVLSI.2016.2517025},
url={http://dx.doi.org/10.1109/TVLSI.2016.2517025},
ISSN={1063-8210},
month={aug},
}Downloads
tvlsi-2016-amit [PDF]
Related Paths
Permalink
- A. Zappone, P. H. Lin, E. Jorswieck, "Artificial-noise-assisted energy-efficient secure transmission in 5G with imperfect CSIT and antenna correlation", In Proceeding: 2016 IEEE 17th International Workshop on Signal Processing Advances in Wireless Communications (SPAWC), pp. 1-5, July 2016. [doi] [Bibtex & Downloads]
Artificial-noise-assisted energy-efficient secure transmission in 5G with imperfect CSIT and antenna correlation
Reference
A. Zappone, P. H. Lin, E. Jorswieck, "Artificial-noise-assisted energy-efficient secure transmission in 5G with imperfect CSIT and antenna correlation", In Proceeding: 2016 IEEE 17th International Workshop on Signal Processing Advances in Wireless Communications (SPAWC), pp. 1-5, July 2016. [doi]
Bibtex
@inproceedings{7536827,
Author = {A. Zappone and P. H. Lin and E. Jorswieck},
Booktitle = {2016 IEEE 17th International Workshop on Signal Processing Advances in Wireless Communications (SPAWC)},
Date-Added = {2016-11-07 06:39:43 +0000},
Date-Modified = {2016-11-07 06:39:43 +0000},
Doi = {10.1109/SPAWC.2016.7536827},
Keywords = {5G mobile communication;antenna arrays;computational complexity;concave programming;convex programming;correlation methods;energy conservation;resource allocation;telecommunication network reliability;telecommunication security;transmitting antennas;5G;antenna correlation;artificial-noise-assisted energy-efficient secure transmission;energy-efficient resource allocation problem;fractional programming;imperfect CSIT;legitimate transmitter;multiple-antenna wiretap channels;nonconvex maximization problems;polynomial complexity;secrecy energy efficiency;sequential convex optimization tools;statistical channel state information;transmit antennas;Complexity theory;Covariance matrices;Programming;Reliability;Resource management;Transmitting antennas},
Month = {July},
Pages = {1-5},
Title = {Artificial-noise-assisted energy-efficient secure transmission in 5G with imperfect CSIT and antenna correlation},
Year = {2016},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/SPAWC.2016.7536827}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- M. Mittelbach, E. A. Jorswieck, "Cascade channels with infinite memory", In Proceeding: 2016 IEEE International Symposium on Information Theory (ISIT), pp. 2779-2783, July 2016. [doi] [Bibtex & Downloads]
Cascade channels with infinite memory
Reference
M. Mittelbach, E. A. Jorswieck, "Cascade channels with infinite memory", In Proceeding: 2016 IEEE International Symposium on Information Theory (ISIT), pp. 2779-2783, July 2016. [doi]
Bibtex
@inproceedings{7541805,
Author = {M. Mittelbach and E. A. Jorswieck},
Booktitle = {2016 IEEE International Symposium on Information Theory (ISIT)},
Date-Added = {2016-11-07 06:28:36 +0000},
Date-Modified = {2016-11-07 06:28:36 +0000},
Doi = {10.1109/ISIT.2016.7541805},
Keywords = {channel coding;memoryless systems;source coding;α-mixing;asymptotically input-memoryless cascade channel;cascade channel infinite memory;completely arbitrary alphabets;continuous-time channel;continuous-time source;discrete-time channel;discrete-time source;information sources;output memory;Encoding;Extraterrestrial measurements;Indexes;Memory management;Random processes;Stochastic processes},
Month = {July},
Pages = {2779-2783},
Title = {Cascade channels with infinite memory},
Year = {2016},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/ISIT.2016.7541805}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Ang Li, Shuaiwen Leon Song, Mark Wijtvliet, Akash Kumar, Henk Corporaal, "SFU-Driven Transparent Approximation Acceleration on GPUs", Proceedings of the 2016 International Conference on Supercomputing, pp. 15, Jun 2016. [Bibtex & Downloads]
SFU-Driven Transparent Approximation Acceleration on GPUs
Reference
Ang Li, Shuaiwen Leon Song, Mark Wijtvliet, Akash Kumar, Henk Corporaal, "SFU-Driven Transparent Approximation Acceleration on GPUs", Proceedings of the 2016 International Conference on Supercomputing, pp. 15, Jun 2016.
Bibtex
@inproceedings{li2016sfu,
title={SFU-Driven Transparent Approximation Acceleration on GPUs},
author={Li, Ang and Song, Shuaiwen Leon and Wijtvliet, Mark and Kumar, Akash and Corporaal, Henk},
booktitle={Proceedings of the 2016 International Conference on Supercomputing},
pages={15},
year={2016},
month={jun},
organization={ACM}
}Downloads
ICS-a15-li_camera-ready [PDF]
Related Paths
Permalink
- Siavash Ghiasvand, Florina M Ciorba, "Toward Resilience in HPC: A Prototype to Analyze & Predict System Behavior", In International Supercomputing, Jun 2016. [Bibtex & Downloads]
Toward Resilience in HPC: A Prototype to Analyze & Predict System Behavior
Reference
Siavash Ghiasvand, Florina M Ciorba, "Toward Resilience in HPC: A Prototype to Analyze & Predict System Behavior", In International Supercomputing, Jun 2016.
Bibtex
@Misc{Ghiasvand2016b,
author={Ghiasvand, Siavash and Ciorba, Florina M},
title={Toward Resilience in HPC: A Prototype to Analyze \& Predict System Behavior},
howpublished={International Supercomputing},
month={jun},
year={2016},
url={http://isc-hpc.com/isc16_ap/presentationdetails.htm?t=presentation&o=993&a=select},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- A. Zappone, P. H. Lin, E. Jorswieck, "Confidential and energy-efficient multiple-antenna communications with artificial noise", In Proceeding: 2016 23rd International Conference on Telecommunications (ICT), pp. 1-5, May 2016. [doi] [Bibtex & Downloads]
Confidential and energy-efficient multiple-antenna communications with artificial noise
Reference
A. Zappone, P. H. Lin, E. Jorswieck, "Confidential and energy-efficient multiple-antenna communications with artificial noise", In Proceeding: 2016 23rd International Conference on Telecommunications (ICT), pp. 1-5, May 2016. [doi]
Bibtex
@inproceedings{7500433,
Author = {A. Zappone and P. H. Lin and E. Jorswieck},
Booktitle = {2016 23rd International Conference on Telecommunications (ICT)},
Date-Added = {2016-11-07 06:36:29 +0000},
Date-Modified = {2016-11-07 06:36:29 +0000},
Doi = {10.1109/ICT.2016.7500433},
Keywords = {mathematical programming;resource allocation;telecommunication security;wireless channels;CSI;artificial noise technique;confidential communications;energy-efficient communications;multiple-antenna wiretap channels;nonconvex fractional program;polynomial complexity;radio resource allocation framework;sequential fractional programming algorithm;sequential optimization;statistical channel state information;Channel estimation;Complexity theory;Optimization;Programming;Radio transmitters;Reliability;Resource management;Energy efficiency;MISO-SE;artificial noise;fractional programming;physical layer security;power control;resource allocation;statistical CSI},
Month = {May},
Pages = {1-5},
Title = {Confidential and energy-efficient multiple-antenna communications with artificial noise},
Year = {2016},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/ICT.2016.7500433}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Shyamsundar Venkataraman, Rui Santos, Akash Kumar, "A Flexible Inexact TMR Technique for SRAM-based FPGAs", In Proceeding: Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2016. [Bibtex & Downloads]
A Flexible Inexact TMR Technique for SRAM-based FPGAs
Reference
Shyamsundar Venkataraman, Rui Santos, Akash Kumar, "A Flexible Inexact TMR Technique for SRAM-based FPGAs", In Proceeding: Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2016.
Bibtex
@inproceedings{rui2016date,
title={A Flexible Inexact TMR Technique for SRAM-based FPGAs},
author={Venkataraman, Shyamsundar and Santos, Rui and Kumar, Akash},
booktitle={Design, Automation and Test in Europe Conference and Exhibition (DATE)},
year={2016},
month={march},
organization={IEEE}
}Downloads
DATE 2016 Camera ready [PDF]
Related Paths
Permalink
- Siva Satyendra Sahoo, Akash Kumar, Bharadwaj Veeravalli, "Design and Evaluation of Reliability-oriented Task Re-Mapping in MPSoCs usingTime-Series Analysis of Intermittent faults", In Proceeding: Design, Automation and Test in Europe Conference and Exhibition (DATE), Mar 2016. [Bibtex & Downloads]
Design and Evaluation of Reliability-oriented Task Re-Mapping in MPSoCs usingTime-Series Analysis of Intermittent faults
Reference
Siva Satyendra Sahoo, Akash Kumar, Bharadwaj Veeravalli, "Design and Evaluation of Reliability-oriented Task Re-Mapping in MPSoCs usingTime-Series Analysis of Intermittent faults", In Proceeding: Design, Automation and Test in Europe Conference and Exhibition (DATE), Mar 2016.
Bibtex
@inproceedings{siva2016date,
title={Design and Evaluation of Reliability-oriented Task Re-Mapping in MPSoCs usingTime-Series Analysis of Intermittent faults},
author={Siva Satyendra Sahoo and Akash Kumar and Bharadwaj Veeravalli},
booktitle={Design, Automation and Test in Europe Conference and Exhibition (DATE)},
year={2016},
month={mar},
organization={IEEE}
}Downloads
date-2016-385-camera ready [PDF]
Related Paths
Permalink
- P. H. Lin, F. Gabry, R. Thobaben, E. A. Jorswieck, M. Skoglund, "Multi-Phase Smart Relaying and Cooperative Jamming in Secure Cognitive Radio Networks", In IEEE Transactions on Cognitive Communications and Networking, vol. 2, no. 1, pp. 38-52, March 2016. [doi] [Bibtex & Downloads]
Multi-Phase Smart Relaying and Cooperative Jamming in Secure Cognitive Radio Networks
Reference
P. H. Lin, F. Gabry, R. Thobaben, E. A. Jorswieck, M. Skoglund, "Multi-Phase Smart Relaying and Cooperative Jamming in Secure Cognitive Radio Networks", In IEEE Transactions on Cognitive Communications and Networking, vol. 2, no. 1, pp. 38-52, March 2016. [doi]
Bibtex
@article{7485847,
Author = {P. H. Lin and F. Gabry and R. Thobaben and E. A. Jorswieck and M. Skoglund},
Date-Added = {2016-11-07 06:35:14 +0000},
Date-Modified = {2016-11-07 06:35:14 +0000},
Doi = {10.1109/TCCN.2016.2577043},
Journal = {IEEE Transactions on Cognitive Communications and Networking},
Keywords = {cognitive radio;cooperative communication;jamming;Gelfand-Pinsker coding;cooperative jamming;cooperative secure communications;four-node cognitive radio network;geometric positions;multiphase smart relaying;primary secrecy rates;primary transmission;secondary receiver;Cognitive radio;Encoding;Interference;Jamming;Radio transmitters;Receivers;Upper bound;Gelfand-Pinsker coding;Overlay cognitive radio;clean relaying;physical layer security;secure coexistence;wiretap channel},
Month = {March},
Number = {1},
Pages = {38-52},
Title = {Multi-Phase Smart Relaying and Cooperative Jamming in Secure Cognitive Radio Networks},
Volume = {2},
Year = {2016},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/TCCN.2016.2577043}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Amit Kumar Singh, Mohammad Shafique, Akash Kumar, Joerg Henkel, "Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 1, pp. 72-85, Jan 2016. [doi] [Bibtex & Downloads]
Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs
Reference
Amit Kumar Singh, Mohammad Shafique, Akash Kumar, Joerg Henkel, "Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 1, pp. 72-85, Jan 2016. [doi]
Bibtex
@article{singh2016resource,
author={Amit Kumar Singh and Mohammad Shafique and Akash Kumar and Joerg Henkel},
journal={IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems},
title={Resource and Throughput Aware Execution Trace Analysis for Efficient Run-Time Mapping on MPSoCs},
year={2016},
volume={35},
number={1},
pages={72-85},
doi={10.1109/TCAD.2015.2446938},
ISSN={0278-0070},
month={Jan},}Downloads
TCAD-2016-RunTimeTraceMatch [PDF]
Related Paths
Permalink
- Siavash Ghiasvand, Florina M Ciorba, Ronny Tsch, Wolfgang E Nagel, others, "Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers", In Proceeding: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), pp. 377–381, 2016. [Bibtex & Downloads]
Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers
Reference
Siavash Ghiasvand, Florina M Ciorba, Ronny Tsch, Wolfgang E Nagel, others, "Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers", In Proceeding: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), pp. 377–381, 2016.
Bibtex
@inproceedings{ghiasvand2016lessons,
title={Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers},
author={Ghiasvand, Siavash and Ciorba, Florina M and Tsch, Ronny and Nagel, Wolfgang E and others},
booktitle={2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP)},
pages={377--381},
year={2016},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Resilience Path, HAEC, Resilience Path
Permalink
- Jan Dirk Leufker, David Fritsche, Gregor Tretter, Corrado Carta, Frank Ellinger, "Dualband 180 GHz and 205 GHz medium-power high-gain amplifier on 130 nm BiCMOS", In Proceeding: 2016 21st International Conference on Microwave, Radar and Wireless Communications (MIKON), pp. 1–4, 2016. [Bibtex & Downloads]
Dualband 180 GHz and 205 GHz medium-power high-gain amplifier on 130 nm BiCMOS
Reference
Jan Dirk Leufker, David Fritsche, Gregor Tretter, Corrado Carta, Frank Ellinger, "Dualband 180 GHz and 205 GHz medium-power high-gain amplifier on 130 nm BiCMOS", In Proceeding: 2016 21st International Conference on Microwave, Radar and Wireless Communications (MIKON), pp. 1–4, 2016.
Bibtex
@inproceedings{leufker2016dualband,
title={Dualband 180 GHz and 205 GHz medium-power high-gain amplifier on 130 nm BiCMOS},
author={Leufker, Jan Dirk and Fritsche, David and Tretter, Gregor and Carta, Corrado and Ellinger, Frank},
booktitle={2016 21st International Conference on Microwave, Radar and Wireless Communications (MIKON)},
pages={1--4},
year={2016},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Joerg Thalheim, Pramod Bhatotia, Christof Fetzer, "Inspector: Data Provenance using Intel Processor Trace (PT)", In Proceeding: proceedings of IEEE International Conference on Distributed Computing Systems (ICDCS), 2016. [Bibtex & Downloads]
Inspector: Data Provenance using Intel Processor Trace (PT)
Reference
Joerg Thalheim, Pramod Bhatotia, Christof Fetzer, "Inspector: Data Provenance using Intel Processor Trace (PT)", In Proceeding: proceedings of IEEE International Conference on Distributed Computing Systems (ICDCS), 2016.
Bibtex
@inproceedings{inspector-icdcs-2016,
author={Joerg Thalheim and Pramod Bhatotia and Christof Fetzer},
title = ,
booktitle = {proceedings of IEEE International Conference on Distributed Computing Systems (ICDCS)},
year = {2016}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dmitrii Kuvaiskii, Oleksii Oleksenko, Pramod Bhatotia, Pascal Felber, Christof Fetzer, "Elzar: Triple Modular Redundancy using Intel AVX", In Proceeding: proceedings of IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2016. [Bibtex & Downloads]
Elzar: Triple Modular Redundancy using Intel AVX
Reference
Dmitrii Kuvaiskii, Oleksii Oleksenko, Pramod Bhatotia, Pascal Felber, Christof Fetzer, "Elzar: Triple Modular Redundancy using Intel AVX", In Proceeding: proceedings of IEEE/IFIP International Conference on Dependable Systems and Networks (DSN), 2016.
Bibtex
@inproceedings{elzar-dsn-2016,
author = {Dmitrii Kuvaiskii and Oleksii Oleksenko and Pramod Bhatotia and Pascal Felber and Christof Fetzer},
title = ,
booktitle = {proceedings of IEEE/IFIP International Conference on Dependable Systems and Networks (DSN)},
year = {2016}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dmitrii Kuvaiskii, Rasha Faqeh, Pramod Bhatotia, Pascal Felber, Christof Fetzer, "HAFT: Hardware-assisted Fault Tolerance", In Proceeding: proceedings of ACM European Conference on Computer Systems (EuroSys), 2016. [Bibtex & Downloads]
HAFT: Hardware-assisted Fault Tolerance
Reference
Dmitrii Kuvaiskii, Rasha Faqeh, Pramod Bhatotia, Pascal Felber, Christof Fetzer, "HAFT: Hardware-assisted Fault Tolerance", In Proceeding: proceedings of ACM European Conference on Computer Systems (EuroSys), 2016.
Bibtex
@inproceedings{haft-eurosys-2016,
author = {Dmitrii Kuvaiskii and Rasha Faqeh and Pramod Bhatotia and Pascal Felber and Christof Fetzer},
title = ,
booktitle = {proceedings of ACM European Conference on Computer Systems (EuroSys)},
year = {2016}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dhanya R. Krishnan, Do Le Quoc, Pramod Bhatotia, Christof Fetzer, Rodrigo Rodrigues, "IncApprox: A Data Analytics System for Incremental Approximate Computing", In Proceeding: proceedings of International Conference on World Wide Web (WWW), 2016. [Bibtex & Downloads]
IncApprox: A Data Analytics System for Incremental Approximate Computing
Reference
Dhanya R. Krishnan, Do Le Quoc, Pramod Bhatotia, Christof Fetzer, Rodrigo Rodrigues, "IncApprox: A Data Analytics System for Incremental Approximate Computing", In Proceeding: proceedings of International Conference on World Wide Web (WWW), 2016.
Bibtex
@inproceedings{incapprox-www-2016,
author = {Dhanya R. Krishnan and Do Le Quoc and Pramod Bhatotia and Christof Fetzer and Rodrigo Rodrigues},
title = ,
booktitle = {proceedings of International Conference on World Wide Web (WWW)},
year = {2016}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christel Baier, Sascha Klüppelholz, Hermann de Meer, Florian Niedermeier, Sascha Wunderlich, "Greener Bits: Formal Analysis of Demand Response", In Proceeding: Proc. of the 14th International Symposium on Automated Technology for Verification and Analysis (ATVA), Springer, vol. 9938, pp. 323–339, 2016. [doi] [Bibtex & Downloads]
Greener Bits: Formal Analysis of Demand Response
Reference
Christel Baier, Sascha Klüppelholz, Hermann de Meer, Florian Niedermeier, Sascha Wunderlich, "Greener Bits: Formal Analysis of Demand Response", In Proceeding: Proc. of the 14th International Symposium on Automated Technology for Verification and Analysis (ATVA), Springer, vol. 9938, pp. 323–339, 2016. [doi]
Bibtex
@inproceedings{BKMNW16,
author = {Christel Baier and Sascha Kl{\"u}ppelholz and Hermann de Meer and Florian Niedermeier and Sascha Wunderlich},
title = {Greener Bits: Formal Analysis of Demand Response},
booktitle = {Proc. of the 14th International Symposium on Automated Technology for Verification and Analysis (ATVA)},
pages = {323--339},
year = {2016},
doi = {10.1007/978-3-319-46520-3_21},
series = {Lecture Notes in Computer Science},
volume = {9938},
publisher = {Springer},
ee = {http://dx.doi.org/10.1007/978-3-319-46520-3_21},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, "Cost-Utility Analysis in Probabilistic Models", In Proceeding: Proc. of the 10th International Symposium on Theoretical Aspects of Software Engineering (TASE), IEEE, pp. 1, 2016. [doi] [Bibtex & Downloads]
Cost-Utility Analysis in Probabilistic Models
Reference
Christel Baier, "Cost-Utility Analysis in Probabilistic Models", In Proceeding: Proc. of the 10th International Symposium on Theoretical Aspects of Software Engineering (TASE), IEEE, pp. 1, 2016. [doi]
Bibtex
@inproceedings{B16-TASE,
author = {Christel Baier},
title = {Cost-Utility Analysis in Probabilistic Models},
booktitle = {Proc. of the 10th International Symposium on Theoretical Aspects of Software Engineering (TASE)},
pages = {1},
year = {2016},
doi = {http://dx.doi.org/10.1109/TASE.2016.10},
publisher = ,
note = {Abstract for invited talk}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Daniel Gburek, Christel Baier, Sascha Klüppelholz, "Composition of Stochastic Transition Systems Based on Spans and Couplings", In Proceeding: Proc. of the 43rd International Colloquium on Automata, Languages and Programming (ICALP), Schloss Dagstuhl - Leibniz-Zentrum für Informatik, vol. 55, pp. 102:1–102:15, 2016. [Bibtex & Downloads]
Composition of Stochastic Transition Systems Based on Spans and Couplings
Reference
Daniel Gburek, Christel Baier, Sascha Klüppelholz, "Composition of Stochastic Transition Systems Based on Spans and Couplings", In Proceeding: Proc. of the 43rd International Colloquium on Automata, Languages and Programming (ICALP), Schloss Dagstuhl - Leibniz-Zentrum für Informatik, vol. 55, pp. 102:1–102:15, 2016.
Bibtex
@inproceedings{GBK16,
author = {Daniel Gburek and Christel Baier and Sascha Kl{\"u}ppelholz},
title = {Composition of Stochastic Transition Systems Based on Spans and Couplings},
booktitle = {Proc. of the 43rd International Colloquium on Automata, Languages and Programming (ICALP)},
year = {2016},
volume = {55},
pages = {102:1--102:15},
series = {Leibniz International Proceedings in Informatics (LIPIcs)},
publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"u}r Informatik},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Stefan Kiefer, Joachim Klein, Sascha Klüppelholz, David Müller, James Worrell, "Markov Chains and Unambiguous Büchi Automata", In Proceeding: Proc. of the 28th International Conference on Computer Aided Verification (CAV) - Part I, Springer, vol. 9779, pp. 23–42, 2016. [Bibtex & Downloads]
Markov Chains and Unambiguous Büchi Automata
Reference
Christel Baier, Stefan Kiefer, Joachim Klein, Sascha Klüppelholz, David Müller, James Worrell, "Markov Chains and Unambiguous Büchi Automata", In Proceeding: Proc. of the 28th International Conference on Computer Aided Verification (CAV) - Part I, Springer, vol. 9779, pp. 23–42, 2016.
Bibtex
@inproceedings{BKKKMW16,
author = {Christel Baier and Stefan Kiefer and Joachim Klein and Sascha Kl{\"u}ppelholz and David M{\"u}ller and James Worrell},
title = {Markov Chains and Unambiguous {B{\"u}chi} Automata},
booktitle = {Proc. of the 28th International Conference on Computer Aided Verification (CAV) - Part {I}},
year = {2016},
series = {Lecture Notes in Computer Science},
publisher = {Springer},
volume = {9779},
pages = {23--42},
ee = {http://dx.doi.org/10.1007/978-3-319-41528-4_2},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Joachim Klein, Christel Baier, Philipp Chrszon, Marcus Daum, Clemens Dubslaff, Sascha Klüppelholz, Steffen Märcker, David Müller, "Advances in Symbolic Probabilistic
Model Checking with PRISM", In Proceeding: Proc. of the 22th International Conference on
Tools and Algorithms
for the Construction and Analysis of Systems (TACAS), Springer, vol. 9636, pp. 349–366, 2016. [Bibtex & Downloads]
Advances in Symbolic Probabilistic Model Checking with PRISM
Reference
Joachim Klein, Christel Baier, Philipp Chrszon, Marcus Daum, Clemens Dubslaff, Sascha Klüppelholz, Steffen Märcker, David Müller, "Advances in Symbolic Probabilistic Model Checking with PRISM", In Proceeding: Proc. of the 22th International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Springer, vol. 9636, pp. 349–366, 2016.
Bibtex
@inproceedings{KBCDDKMM16,
author = {Joachim Klein and Christel Baier and Philipp Chrszon and Marcus Daum and Clemens Dubslaff and Sascha Kl{\"u}ppelholz and Steffen M{\"a}rcker and David M{\"u}ller},
title = {Advances in Symbolic Probabilistic
Model Checking with {PRISM}},
booktitle = {Proc. of the 22th International Conference on
Tools and Algorithms
for the Construction and Analysis of Systems (TACAS)},
pages = {349--366},
year = {2016},
series = {Lecture Notes in Computer Science},
volume = {9636},
publisher = {Springer},
ee = {http://dx.doi.org/10.1007/978-3-662-49674-9_20},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- A. Zappone, P. H. Lin, E. Jorswieck, "Energy Efficiency of Confidential Multi-Antenna Systems with Artificial Noise and Statistical CSI", In IEEE Journal of Selected Topics in Signal Processing, vol. PP, no. 99, pp. 1-1, 2016. [doi] [Bibtex & Downloads]
Energy Efficiency of Confidential Multi-Antenna Systems with Artificial Noise and Statistical CSI
Reference
A. Zappone, P. H. Lin, E. Jorswieck, "Energy Efficiency of Confidential Multi-Antenna Systems with Artificial Noise and Statistical CSI", In IEEE Journal of Selected Topics in Signal Processing, vol. PP, no. 99, pp. 1-1, 2016. [doi]
Bibtex
@article{7563422,
Author = {A. Zappone and P. H. Lin and E. Jorswieck},
Date-Added = {2016-11-07 06:34:00 +0000},
Date-Modified = {2016-11-07 06:34:00 +0000},
Doi = {10.1109/JSTSP.2016.2607690},
Issn = {1932-4553},
Journal = {IEEE Journal of Selected Topics in Signal Processing},
Keywords = {Channel estimation;Complexity theory;Optimization;Programming;Reliability;Resource management;Transmitters;Energy efficiency;MISO-SE;artificial noise;fractional programming;physical layer security;power control;resource allocation;statistical CSI},
Number = {99},
Pages = {1-1},
Title = {Energy Efficiency of Confidential Multi-Antenna Systems with Artificial Noise and Statistical CSI},
Volume = {PP},
Year = {2016},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/JSTSP.2016.2607690}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Jieying Chen, Michel Ludwig, Dirk Walther, "On Computing Minimal EL-Subsumption Modules", Proceedings of the Joint Ontology Workshops 2016 Episode 2:
The French Summer of Ontology co-located with the 9th
International Conference on Formal Ontology in Information
Systems (FOIS 2016), Annecy, France, July 6-9, 2016. (Oliver Kutz and Sergio de Cesare and Maria M. Hedblom and Tarek
Richard Besold and Tony Veale and Frederik Gailly and
Giancarlo Guizzardi and Mark Lycett and Chris Partridge and
Oscar Pastor and Michael Grüninger and Fabian Neuhaus
and Till Mossakowski and Stefano Borgo and Loris Bozzato and
Chiara Del Vescovo and Martin Homola and Frank Loebe and
Adrien Barton and Jean-Rémi Bourguet), vol. 1660, 2016. [Bibtex & Downloads]
On Computing Minimal EL-Subsumption Modules
Reference
Jieying Chen, Michel Ludwig, Dirk Walther, "On Computing Minimal EL-Subsumption Modules", Proceedings of the Joint Ontology Workshops 2016 Episode 2: The French Summer of Ontology co-located with the 9th International Conference on Formal Ontology in Information Systems (FOIS 2016), Annecy, France, July 6-9, 2016. (Oliver Kutz and Sergio de Cesare and Maria M. Hedblom and Tarek Richard Besold and Tony Veale and Frederik Gailly and Giancarlo Guizzardi and Mark Lycett and Chris Partridge and Oscar Pastor and Michael Grüninger and Fabian Neuhaus and Till Mossakowski and Stefano Borgo and Loris Bozzato and Chiara Del Vescovo and Martin Homola and Frank Loebe and Adrien Barton and Jean-Rémi Bourguet), vol. 1660, 2016.
Bibtex
@InProceedings{conf/fois/ChenL016,
author = {Jieying Chen and Michel Ludwig and Dirk Walther},
title = {On Computing Minimal EL-Subsumption Modules},
year = 2016,
editor = {Oliver Kutz and Sergio de Cesare and Maria M. Hedblom and Tarek
Richard Besold and Tony Veale and Frederik Gailly and
Giancarlo Guizzardi and Mark Lycett and Chris Partridge and
Oscar Pastor and Michael Gr{\"{u}}ninger and Fabian Neuhaus
and Till Mossakowski and Stefano Borgo and Loris Bozzato and
Chiara Del Vescovo and Martin Homola and Frank Loebe and
Adrien Barton and Jean{-}R{\'{e}}mi Bourguet},
booktitle = {Proceedings of the Joint Ontology Workshops 2016 Episode 2:
The French Summer of Ontology co-located with the 9th
International Conference on Formal Ontology in Information
Systems {(FOIS} 2016), Annecy, France, July 6-9, 2016.},
url = {http://ceur-ws.org/Vol-1660/womocoe-paper6.pdf},
series = {{CEUR} Workshop Proceedings},
volume = {1660},
year = {2016},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Philipp Chrszon, Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Family-Based Modeling and Analysis for
Probabilistic Systems - Featuring ProFeat", In Proceeding: Proc. of the 19th International Conference on
Fundamental Approaches to Software Engineering (FASE), Springer, vol. 9633, pp. 287–304, 2016. [Bibtex & Downloads]
Family-Based Modeling and Analysis for Probabilistic Systems - Featuring ProFeat
Reference
Philipp Chrszon, Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Family-Based Modeling and Analysis for Probabilistic Systems - Featuring ProFeat", In Proceeding: Proc. of the 19th International Conference on Fundamental Approaches to Software Engineering (FASE), Springer, vol. 9633, pp. 287–304, 2016.
Bibtex
@inproceedings{CDKB16,
author = {Philipp Chrszon, Clemens Dubslaff, Sascha Kl{\"u}ppelholz, Christel Baier},
title = {Family-Based Modeling and Analysis for
Probabilistic Systems - Featuring {ProFeat}},
booktitle = {Proc. of the 19th International Conference on
Fundamental Approaches to Software Engineering (FASE)},
pages = {287--304},
year = {2016},
ee = {http://dx.doi.org/10.1007/978-3-662-49665-7_17},
series = {Lecture Notes in Computer Science},
volume = {9633},
publisher = {Springer},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Philipp Chrszon, Clemens Dubslaff, Christel Baier, Joachim Klein, Sascha Klüppelholz, "Modeling Role-Based Systems with Exogenous Coordination", In Proceeding: Theory and Practice of Formal Methods - Essays Dedicated to Frank
de Boer on the Occasion of His 60th Birthday, Springer, vol. 9660, pp. 122–139, 2016. [Bibtex & Downloads]
Modeling Role-Based Systems with Exogenous Coordination
Reference
Philipp Chrszon, Clemens Dubslaff, Christel Baier, Joachim Klein, Sascha Klüppelholz, "Modeling Role-Based Systems with Exogenous Coordination", In Proceeding: Theory and Practice of Formal Methods - Essays Dedicated to Frank de Boer on the Occasion of His 60th Birthday, Springer, vol. 9660, pp. 122–139, 2016.
Bibtex
@inproceedings{CDBKK16,
author = {Philipp Chrszon, Clemens Dubslaff, Christel Baier, Joachim Klein, Sascha Kl{\"u}ppelholz},
title = {Modeling Role-Based Systems with Exogenous Coordination},
booktitle = {Theory and Practice of Formal Methods - Essays Dedicated to Frank
de Boer on the Occasion of His 60th Birthday},
pages = {122--139},
ee = {http://dx.doi.org/10.1007/978-3-319-30734-3_10},
series = {Lecture Notes in Computer Science},
volume = {9660},
publisher = {Springer},
year = {2016},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Stefanie Roos, Martin Beck, Thorsten Strufe, "Anonymous Addresses for Efficient and Resilient Routing in F2F Overlays", In Proceeding: IEEE INFOCOM, 2016. [Bibtex & Downloads]
Anonymous Addresses for Efficient and Resilient Routing in F2F Overlays
Reference
Stefanie Roos, Martin Beck, Thorsten Strufe, "Anonymous Addresses for Efficient and Resilient Routing in F2F Overlays", In Proceeding: IEEE INFOCOM, 2016.
Bibtex
@inproceedings{roos16anonymous,
title = {Anonymous Addresses for Efficient and Resilient Routing in F2F Overlays},
author = {Stefanie Roos and Martin Beck and Thorsten Strufe},
booktitle = {IEEE INFOCOM},
year = 2016
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Pascal Brueckner, Martin Beck, Thorsten Strufe, "Poster: Increasing the Exposure of Honeypots", In Proceeding: Research in Attack, Intrusions, and Defenses (RAID), 2016. [Bibtex & Downloads]
Poster: Increasing the Exposure of Honeypots
Reference
Pascal Brueckner, Martin Beck, Thorsten Strufe, "Poster: Increasing the Exposure of Honeypots", In Proceeding: Research in Attack, Intrusions, and Defenses (RAID), 2016.
Bibtex
@inproceedings{brueckner16increasing,
title = {Poster: Increasing the Exposure of Honeypots},
author = {Pascal Brueckner and Martin Beck and Thorsten Strufe},
booktitle = {Research in Attack, Intrusions, and Defenses (RAID)},
year = 2016
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christoph Hofmann, Elke Franz, Silvia Santini, "Open Problems in Network Security: IFIP WG 11.4 International Workshop, iNetSec 2015, Zurich, Switzerland, October 29, 2015, Revised Selected Papers", Springer International Publishing, pp. 11–25, Cham, 2016. [doi] [Bibtex & Downloads]
Open Problems in Network Security: IFIP WG 11.4 International Workshop, iNetSec 2015, Zurich, Switzerland, October 29, 2015, Revised Selected Papers
Reference
Christoph Hofmann, Elke Franz, Silvia Santini, "Open Problems in Network Security: IFIP WG 11.4 International Workshop, iNetSec 2015, Zurich, Switzerland, October 29, 2015, Revised Selected Papers", Springer International Publishing, pp. 11–25, Cham, 2016. [doi]
Bibtex
@INBOOK{HoFS_16,
chapter = {A Metric for Adaptive Routing on Trustworthy Paths},
pages = {11--25},
title = {Open Problems in Network Security: IFIP WG 11.4 International Workshop, iNetSec 2015, Zurich, Switzerland, October 29, 2015, Revised Selected Papers},
publisher = {Springer International Publishing},
year = {2016},
editor = {Camenisch, Jan and Kesdo{\u{g}}an, Do{\u{g}}an},
author = {Hofmann, Christoph and Franz, Elke and Santini, Silvia},
address = {Cham},
doi = {10.1007/978-3-319-39028-4_2},
isbn = {978-3-319-39028-4},
owner = {ef1},
timestamp = {2016.06.01},
url = {http://dx.doi.org/10.1007/978-3-319-39028-4_2}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- S. Pfennig, E. Franz, "eSPOC: enhanced Secure Practical Network Coding for Better Efficiency and Lower Latency", In Proceeding: Proc. of GlobeCom NetCod 2016 (to appear), 2016. [Bibtex & Downloads]
eSPOC: enhanced Secure Practical Network Coding for Better Efficiency and Lower Latency
Reference
S. Pfennig, E. Franz, "eSPOC: enhanced Secure Practical Network Coding for Better Efficiency and Lower Latency", In Proceeding: Proc. of GlobeCom NetCod 2016 (to appear), 2016.
Bibtex
@INPROCEEDINGS{PfFr_16,
author = {S. Pfennig and E. Franz},
title = {eSPOC: enhanced Secure Practical Network Coding for Better Efficiency and Lower Latency},
booktitle = {Proc. of GlobeCom NetCod 2016 (to appear)},
year = {2016},
owner = {spfennig},
timestamp = {2016.08.10}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christel Baier, Stefan Kiefer, Joachim Klein, Sascha Klüppelholz, David Müller, James Worrell, "Markov Chains and Unambiguous B$\backslash$" uchi Automata", In arXiv preprint arXiv:1605.00950, 2016. [Bibtex & Downloads]
Markov Chains and Unambiguous B$\backslash$" uchi Automata
Reference
Christel Baier, Stefan Kiefer, Joachim Klein, Sascha Klüppelholz, David Müller, James Worrell, "Markov Chains and Unambiguous B$\backslash$" uchi Automata", In arXiv preprint arXiv:1605.00950, 2016.
Bibtex
@article{baier2016markov,
title={Markov Chains and Unambiguous B$\backslash$" uchi Automata},
author={Baier, Christel and Kiefer, Stefan and Klein, Joachim and Kl{\"u}ppelholz, Sascha and M{\"u}ller, David and Worrell, James},
journal={arXiv preprint arXiv:1605.00950},
year={2016}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path, other
Permalink
- Jan Dirk Leufker, David Fritsche, Guido Belfiore, Corrado Carta, Frank Ellinger, "Multi-Gb/s OOK mm-wave modulator ICs on 28 nm low-power digital CMOS", In Proceeding: Ph. D. Research in Microelectronics and Electronics (PRIME), 2016 12th Conference on, pp. 1–4, 2016. [Bibtex & Downloads]
Multi-Gb/s OOK mm-wave modulator ICs on 28 nm low-power digital CMOS
Reference
Jan Dirk Leufker, David Fritsche, Guido Belfiore, Corrado Carta, Frank Ellinger, "Multi-Gb/s OOK mm-wave modulator ICs on 28 nm low-power digital CMOS", In Proceeding: Ph. D. Research in Microelectronics and Electronics (PRIME), 2016 12th Conference on, pp. 1–4, 2016.
Bibtex
@inproceedings{leufker2016multi,
title={Multi-Gb/s OOK mm-wave modulator ICs on 28 nm low-power digital CMOS},
author={Leufker, Jan Dirk and Fritsche, David and Belfiore, Guido and Carta, Corrado and Ellinger, Frank},
booktitle={Ph. D. Research in Microelectronics and Electronics (PRIME), 2016 12th Conference on},
pages={1--4},
year={2016},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- André Martin, Andrey Brito, Christof Fetzer, "Real-time social network graph analysis using StreamMine3G", Proceedings of the 10th ACM International Conference on Distributed and Event-based Systems, pp. 322–329, 2016. [Bibtex & Downloads]
Real-time social network graph analysis using StreamMine3G
Reference
André Martin, Andrey Brito, Christof Fetzer, "Real-time social network graph analysis using StreamMine3G", Proceedings of the 10th ACM International Conference on Distributed and Event-based Systems, pp. 322–329, 2016.
Bibtex
@inproceedings{martin2016real,
title={Real-time social network graph analysis using StreamMine3G},
author={Martin, Andr{\'e} and Brito, Andrey and Fetzer, Christof},
booktitle={Proceedings of the 10th ACM International Conference on Distributed and Event-based Systems},
pages={322--329},
year={2016},
organization={ACM}
}Downloads
No Downloads available for this publication
Related Paths
Resilience Path, Resilience Path
Permalink
- Romain Jacob, Marco Zimmerling, Pengcheng Huang, Jan Beutel, Lothar Thiele, "Towards Real-time Wireless Cyber-physical Systems", In Edited by Sebastian Altmeyer, pp. 7, 2016. [Bibtex & Downloads]
Towards Real-time Wireless Cyber-physical Systems
Reference
Romain Jacob, Marco Zimmerling, Pengcheng Huang, Jan Beutel, Lothar Thiele, "Towards Real-time Wireless Cyber-physical Systems", In Edited by Sebastian Altmeyer, pp. 7, 2016.
Bibtex
@article{jacob2016towards,
title={Towards Real-time Wireless Cyber-physical Systems},
author={Jacob, Romain and Zimmerling, Marco and Huang, Pengcheng and Beutel, Jan and Thiele, Lothar},
journal={Edited by Sebastian Altmeyer},
pages={7},
year={2016}
}Downloads
No Downloads available for this publication
Related Paths
Orchestration Path, Resilience Path
Permalink
- Felix Neumarker, Sebastian Höppner, Andreas Dixius, Christian Mayr, "True random number generation from bang-bang ADPLL jitter", In Proceeding: Nordic Circuits and Systems Conference (NORCAS), 2016 IEEE, pp. 1–5, 2016. [Bibtex & Downloads]
True random number generation from bang-bang ADPLL jitter
Reference
Felix Neumarker, Sebastian Höppner, Andreas Dixius, Christian Mayr, "True random number generation from bang-bang ADPLL jitter", In Proceeding: Nordic Circuits and Systems Conference (NORCAS), 2016 IEEE, pp. 1–5, 2016.
Bibtex
@inproceedings{neumarker2016true,
title={True random number generation from bang-bang ADPLL jitter},
author={Neumarker, Felix and H{\"o}ppner, Sebastian and Dixius, Andreas and Mayr, Christian},
booktitle={Nordic Circuits and Systems Conference (NORCAS), 2016 IEEE},
pages={1--5},
year={2016},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Sadia Moriam, Gerhard P Fettweis, "Fault Tolerant Deadlock-Free Adaptive Routing Algorithms for Hexagonal Networks-on-Chip", In Proceeding: Digital System Design (DSD), 2016 Euromicro Conference on, pp. 131–137, 2016. [Bibtex & Downloads]
Fault Tolerant Deadlock-Free Adaptive Routing Algorithms for Hexagonal Networks-on-Chip
Reference
Sadia Moriam, Gerhard P Fettweis, "Fault Tolerant Deadlock-Free Adaptive Routing Algorithms for Hexagonal Networks-on-Chip", In Proceeding: Digital System Design (DSD), 2016 Euromicro Conference on, pp. 131–137, 2016.
Bibtex
@inproceedings{moriam2016fault,
title={Fault Tolerant Deadlock-Free Adaptive Routing Algorithms for Hexagonal Networks-on-Chip},
author={Moriam, Sadia and Fettweis, Gerhard P},
booktitle={Digital System Design (DSD), 2016 Euromicro Conference on},
pages={131--137},
year={2016},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Florian Kriebel, Muhammad Shafique, Semeen Rehman, Jörg Henkel, Siddharth Garg, "Variability and Reliability Awareness in the Age of Dark Silicon", In IEEE Design & Test, IEEE, vol. 33, no. 2, pp. 59–67, 2016. [Bibtex & Downloads]
Variability and Reliability Awareness in the Age of Dark Silicon
Reference
Florian Kriebel, Muhammad Shafique, Semeen Rehman, Jörg Henkel, Siddharth Garg, "Variability and Reliability Awareness in the Age of Dark Silicon", In IEEE Design & Test, IEEE, vol. 33, no. 2, pp. 59–67, 2016.
Bibtex
@article{kriebel2016variability,
title={Variability and Reliability Awareness in the Age of Dark Silicon},
author={Kriebel, Florian and Shafique, Muhammad and Rehman, Semeen and Henkel, J{\"o}rg and Garg, Siddharth},
journal={IEEE Design \& Test},
volume={33},
number={2},
pages={59--67},
year={2016},
publisher={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Florian Kriebel, Semeen Rehman, Arun Subramaniyan, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel, "Reliability-Aware Adaptations for Shared Last-Level Caches in Multi-Cores", In ACM Transactions on Embedded Computing Systems (TECS), ACM, vol. 15, no. 4, pp. 67, 2016. [Bibtex & Downloads]
Reliability-Aware Adaptations for Shared Last-Level Caches in Multi-Cores
Reference
Florian Kriebel, Semeen Rehman, Arun Subramaniyan, Segnon Jean Bruno Ahandagbe, Muhammad Shafique, Jörg Henkel, "Reliability-Aware Adaptations for Shared Last-Level Caches in Multi-Cores", In ACM Transactions on Embedded Computing Systems (TECS), ACM, vol. 15, no. 4, pp. 67, 2016.
Bibtex
@article{kriebel2016reliability,
title={Reliability-Aware Adaptations for Shared Last-Level Caches in Multi-Cores},
author={Kriebel, Florian and Rehman, Semeen and Subramaniyan, Arun and Ahandagbe, Segnon Jean Bruno and Shafique, Muhammad and Henkel, J{\"o}rg},
journal={ACM Transactions on Embedded Computing Systems (TECS)},
volume={15},
number={4},
pages={67},
year={2016},
publisher={ACM}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Sebastian Haas, Oliver Arnold, Benedikt Nöthen, Stefan Scholze, Georg Ellguth, Andreas Dixius, Sebastian Höppner, Stefan Schiefer, Stephan Hartmann, Stephan Henker, others, "An MPSoC for energy-efficient database query processing", In Proceeding: Design Automation Conference (DAC), 2016 53nd ACM/EDAC/IEEE, pp. 1–6, 2016. [Bibtex & Downloads]
An MPSoC for energy-efficient database query processing
Reference
Sebastian Haas, Oliver Arnold, Benedikt Nöthen, Stefan Scholze, Georg Ellguth, Andreas Dixius, Sebastian Höppner, Stefan Schiefer, Stephan Hartmann, Stephan Henker, others, "An MPSoC for energy-efficient database query processing", In Proceeding: Design Automation Conference (DAC), 2016 53nd ACM/EDAC/IEEE, pp. 1–6, 2016.
Bibtex
@inproceedings{haas2016mpsoc,
title={An MPSoC for energy-efficient database query processing},
author={Haas, Sebastian and Arnold, Oliver and N{\"o}then, Benedikt and Scholze, Stefan and Ellguth, Georg and Dixius, Andreas and H{\"o}ppner, Sebastian and Schiefer, Stefan and Hartmann, Stephan and Henker, Stephan and others},
booktitle={Design Automation Conference (DAC), 2016 53nd ACM/EDAC/IEEE},
pages={1--6},
year={2016},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Orchestration Path, Resilience Path
Permalink
- Romain Jacob, Marco Zimmerling, Pengcheng Huang, Jan Beutel, Lothar Thiele, "End-to-end Real-time Guarantees in Wireless Cyber-physical Systems", In Proceeding: Real-Time Systems Symposium (RTSS), 2016 IEEE, pp. 167–178, 2016. [Bibtex & Downloads]
End-to-end Real-time Guarantees in Wireless Cyber-physical Systems
Reference
Romain Jacob, Marco Zimmerling, Pengcheng Huang, Jan Beutel, Lothar Thiele, "End-to-end Real-time Guarantees in Wireless Cyber-physical Systems", In Proceeding: Real-Time Systems Symposium (RTSS), 2016 IEEE, pp. 167–178, 2016.
Bibtex
@inproceedings{jacob2016end,
title={End-to-end Real-time Guarantees in Wireless Cyber-physical Systems},
author={Jacob, Romain and Zimmerling, Marco and Huang, Pengcheng and Beutel, Jan and Thiele, Lothar},
booktitle={Real-Time Systems Symposium (RTSS), 2016 IEEE},
pages={167--178},
year={2016},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, Pavlos Marantidis, Alexander Okhotin, "Approximate Unification in the Description Logic$\backslash$ mathcal $\{$FL$}$ _0", In Proceeding: European Conference on Logics in Artificial Intelligence, pp. 49–63, 2016. [Bibtex & Downloads]
Approximate Unification in the Description Logic$\backslash$ mathcal $\{$FL$}$ _0
Reference
Franz Baader, Pavlos Marantidis, Alexander Okhotin, "Approximate Unification in the Description Logic$\backslash$ mathcal $\{$FL$}$ _0", In Proceeding: European Conference on Logics in Artificial Intelligence, pp. 49–63, 2016.
Bibtex
@inproceedings{baader2016approximate,
title={Approximate Unification in the Description Logic$\backslash$ mathcal $\{$FL$\}$ \_0},
author={Baader, Franz and Marantidis, Pavlos and Okhotin, Alexander},
booktitle={European Conference on Logics in Artificial Intelligence},
pages={49--63},
year={2016},
organization={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Sven Jager, Benjamin Schiller, Thorsten Strufe, Kay Hamacher, "StreAM-T_g: Algorithms for Analyzing Coarse Grained RNA Dynamics Based on Markov Models of Connectivity-Graphs", In Proceeding: International Workshop on Algorithms in Bioinformatics, pp. 197–209, 2016. [Bibtex & Downloads]
StreAM-T_g: Algorithms for Analyzing Coarse Grained RNA Dynamics Based on Markov Models of Connectivity-Graphs
Reference
Sven Jager, Benjamin Schiller, Thorsten Strufe, Kay Hamacher, "StreAM-T_g: Algorithms for Analyzing Coarse Grained RNA Dynamics Based on Markov Models of Connectivity-Graphs", In Proceeding: International Workshop on Algorithms in Bioinformatics, pp. 197–209, 2016.
Bibtex
@inproceedings{jager2016stream,
title={StreAM-T\_g: Algorithms for Analyzing Coarse Grained RNA Dynamics Based on Markov Models of Connectivity-Graphs},
author={Jager, Sven and Schiller, Benjamin and Strufe, Thorsten and Hamacher, Kay},
booktitle={International Workshop on Algorithms in Bioinformatics},
pages={197--209},
year={2016},
organization={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dhanya R. Krishnan, Do Le Quoc, Pramod Bhatotia, Christof Fetzer, Rodrigo Rodrigues, "IncApprox", Proceedings of the 25th International Conference on World Wide Web - WWW \textquotesingle16, Association for Computing Machinery (ACM), 2016. [doi] [Bibtex & Downloads]
IncApprox
Reference
Dhanya R. Krishnan, Do Le Quoc, Pramod Bhatotia, Christof Fetzer, Rodrigo Rodrigues, "IncApprox", Proceedings of the 25th International Conference on World Wide Web - WWW \textquotesingle16, Association for Computing Machinery (ACM), 2016. [doi]
Bibtex
@inproceedings{Krishnan_2016,
doi = {10.1145/2872427.2883026},
url = {https://doi.org/10.1145%2F2872427.2883026},
year = 2016,
publisher = {Association for Computing Machinery ({ACM})},
author = {Dhanya R. Krishnan and Do Le Quoc and Pramod Bhatotia and Christof Fetzer and Rodrigo Rodrigues},
title = ,
booktitle = {Proceedings of the 25th International Conference on World Wide Web - {WWW} {\textquotesingle}16}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
2015
- Andreas Ecke, Rafael Peñaloza, Anni-Yasmin Turhan, "Similarity-based relaxed instance queries", In Journal of Applied Logic, Elsevier, vol. 13, no. 4, pp. 480–508, Dec 2015. [doi] [Bibtex & Downloads]
Similarity-based relaxed instance queries
Reference
Andreas Ecke, Rafael Peñaloza, Anni-Yasmin Turhan, "Similarity-based relaxed instance queries", In Journal of Applied Logic, Elsevier, vol. 13, no. 4, pp. 480–508, Dec 2015. [doi]
Bibtex
@article{ecke2015similarity,
author={Ecke, Andreas and Peñaloza, Rafael and Turhan, Anni-Yasmin},
doi={10.1016/j.jal.2015.01.002},
journal={Journal of Applied Logic},
month={dec},
number={4},
pages={480–508},
publisher={Elsevier},
title={Similarity-based relaxed instance queries},
url={https://doi.org/10.1016%2Fj.jal.2015.01.002},
volume={13},
year={2015},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- P. H. Lin, E. A. Jorswieck, R. F. Schaefer, M. Mittelbach, "On the Degradedness of Fast Fading Gaussian Multiple-Antenna Wiretap Channels with Statistical Channel State Information at the Transmitter", In Proceeding: 2015 IEEE Globecom Workshops (GC Wkshps), pp. 1-5, Dec 2015. [doi] [Bibtex & Downloads]
On the Degradedness of Fast Fading Gaussian Multiple-Antenna Wiretap Channels with Statistical Channel State Information at the Transmitter
Reference
P. H. Lin, E. A. Jorswieck, R. F. Schaefer, M. Mittelbach, "On the Degradedness of Fast Fading Gaussian Multiple-Antenna Wiretap Channels with Statistical Channel State Information at the Transmitter", In Proceeding: 2015 IEEE Globecom Workshops (GC Wkshps), pp. 1-5, Dec 2015. [doi]
Bibtex
@inproceedings{7414132,
Author = {P. H. Lin and E. A. Jorswieck and R. F. Schaefer and M. Mittelbach},
Booktitle = {2015 IEEE Globecom Workshops (GC Wkshps)},
Date-Added = {2016-11-07 06:40:40 +0000},
Date-Modified = {2016-11-07 06:40:40 +0000},
Doi = {10.1109/GLOCOMW.2015.7414132},
Keywords = {Gaussian channels;Rician channels;statistical analysis;CSIT;Rician 2 × 2 × 2 channel;ergodic secrecy capacity;fast fading Gaussian multiple-antenna wiretap channels;statistical channel state information at the transmitter;Antennas;Channel capacity;Fading channels;Random variables;Receivers;Transmitters;Zirconium},
Month = {Dec},
Pages = {1-5},
Title = {On the Degradedness of Fast Fading Gaussian Multiple-Antenna Wiretap Channels with Statistical Channel State Information at the Transmitter},
Year = {2015},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/GLOCOMW.2015.7414132}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Benjamin Schiller, Jeronimo Castrillon, Thorsten Strufe, "Efficient data structures for dynamic graph analysis", Proceedings of the 11th International Conference on Signal-Image Technology & Internet-Based Systems (SITIS) (Lisa O'Conner), IEEE Computer Society, pp. 497–504, Bangkok, Thailand, Nov 2015. [doi] [Bibtex & Downloads]
Efficient data structures for dynamic graph analysis
Reference
Benjamin Schiller, Jeronimo Castrillon, Thorsten Strufe, "Efficient data structures for dynamic graph analysis", Proceedings of the 11th International Conference on Signal-Image Technology & Internet-Based Systems (SITIS) (Lisa O'Conner), IEEE Computer Society, pp. 497–504, Bangkok, Thailand, Nov 2015. [doi]
Bibtex
@InProceedings{schiller_sitis15,
Title={Efficient data structures for dynamic graph analysis},
Author={Schiller, Benjamin and Castrillon, Jeronimo and Strufe, Thorsten},
Booktitle={Proceedings of the 11th International Conference on Signal-Image Technology \& Internet-Based Systems (SITIS)},
Year={2015},
Address={Bangkok, Thailand},
Editor={Lisa O'Conner},
Month=nov,
Publisher={IEEE Computer Society},
Series={SITIS 2015},
pages={497--504},
doi={10.1109/SITIS.2015.94}
}Downloads
1511_Schiller_SITIS [PDF]
Related Paths
Orchestration Path, Resilience Path, HAEC
Permalink
- A. Wolf, E. A. Jorswieck, C. R. Janda, "Worst-case secrecy rates in MIMOME systems under input and state constraints", In Proceeding: Information Forensics and Security (WIFS), 2015 IEEE International Workshop on, pp. 1-6, Nov 2015. [doi] [Bibtex & Downloads]
Worst-case secrecy rates in MIMOME systems under input and state constraints
Reference
A. Wolf, E. A. Jorswieck, C. R. Janda, "Worst-case secrecy rates in MIMOME systems under input and state constraints", In Proceeding: Information Forensics and Security (WIFS), 2015 IEEE International Workshop on, pp. 1-6, Nov 2015. [doi]
Bibtex
@inproceedings{7368577,
Author = {A. Wolf and E. A. Jorswieck and C. R. Janda},
Booktitle = {Information Forensics and Security (WIFS), 2015 IEEE International Workshop on},
Date-Added = {2016-11-07 06:29:29 +0000},
Date-Modified = {2016-11-07 06:29:29 +0000},
Doi = {10.1109/WIFS.2015.7368577},
Keywords = {MIMO communication;covariance matrices;optimisation;radio transmitters;telecommunication security;wireless channels;MIMOME systems;eavesdropper channel;general constraints;maximization;multiantenna wiretap channel;secure transmission;state constraints;transmit covariance matrix;transmitter;worst case secrecy rates;Covariance matrices;Eigenvalues and eigenfunctions;Minimization;Optimization;Receivers;Transmitting antennas;input and state constraints;saddle-point problems;unitarily invariant constraints;worst-case secrecy rate optimization},
Month = {Nov},
Pages = {1-6},
Title = {Worst-case secrecy rates in MIMOME systems under input and state constraints},
Year = {2015},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/WIFS.2015.7368577}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Jan Dirk Leufker, David Fritsche, Gregor Tretter, Mahdi Khafaji, Corrado Carta, Frank Ellinger, "Design, modelling and characterization of transmission lines for mm-wave silicon ICs", In Proceeding: 2015 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC), IEEE, pp. 1–4, Nov 2015. [doi] [Bibtex & Downloads]
Design, modelling and characterization of transmission lines for mm-wave silicon ICs
Reference
Jan Dirk Leufker, David Fritsche, Gregor Tretter, Mahdi Khafaji, Corrado Carta, Frank Ellinger, "Design, modelling and characterization of transmission lines for mm-wave silicon ICs", In Proceeding: 2015 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC), IEEE, pp. 1–4, Nov 2015. [doi]
Abstract
This paper describes the design, modelling and characterization of transmission lines for millimetre wave silicon integrated circuits up to 65 GHz. The simulation results of three different EM simulators for a selected hybrid coplanar layout structure are presented. Two different deembedding methods are investigated and compared with respect to sensitivity to typical measurement errors. Finally both methods are applied to the measurement results of the fabricated test structures in a 250 nm BiCMOS technology showing good agreement to EM simulations and predicted sensitivity to measurement errors.
Bibtex
@inproceedings{Leufker2015,
abstract = {This paper describes the design, modelling and characterization of transmission lines for millimetre wave silicon integrated circuits up to 65 GHz. The simulation results of three different EM simulators for a selected hybrid coplanar layout structure are presented. Two different deembedding methods are investigated and compared with respect to sensitivity to typical measurement errors. Finally both methods are applied to the measurement results of the fabricated test structures in a 250 nm BiCMOS technology showing good agreement to EM simulations and predicted sensitivity to measurement errors.},
author = {Leufker, Jan Dirk and Fritsche, David and Tretter, Gregor and Khafaji, Mahdi and Carta, Corrado and Ellinger, Frank},
booktitle = {2015 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC)},
doi = {10.1109/IMOC.2015.7369151},
isbn = {978-1-4673-9492-5},
keywords = {CoolWA,EM simulation,HAEC-01,HAEC-A01,Impedance,Integrated circuit modeling,Layout,Leu15b,Measurement errors,Metals,Simulation,Transmission line measurements,cfAED-Res,coplanar waveguide,deembedding,microstrip,millimetre wave integrated circuits,transmission line},
mendeley-groups = {CC-Own/Proceedings},
mendeley-tags = {CoolWA,HAEC-01,HAEC-A01,Leu15b,cfAED-Res},
month = {nov},
pages = {1--4},
publisher = {IEEE},
shorttitle = {Microwave and Optoelectronics Conference (IMOC), 2},
title = ,
url = {http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=7369151},
year = {2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Paolo Valerio Testa, Corrado Carta, Frank Ellinger, "Gain-bandwidth tuning techniques for loss-compensated Travelling Wave Amplifiers", In Proceeding: 2015 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC), IEEE, pp. 1–4, Nov 2015. [doi] [Bibtex & Downloads]
Gain-bandwidth tuning techniques for loss-compensated Travelling Wave Amplifiers
Reference
Paolo Valerio Testa, Corrado Carta, Frank Ellinger, "Gain-bandwidth tuning techniques for loss-compensated Travelling Wave Amplifiers", In Proceeding: 2015 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC), IEEE, pp. 1–4, Nov 2015. [doi]
Abstract
This paper presents gain and bandwidth control techniques for wideband loss-compensated Travelling Wave Amplifier (TWAs). The behavior of the distributed amplifiers is tuned acting on the circuit bias in two ways: changing the transistor operation points from saturation to forward active, and tuning the amplifier loss compensation. A tuning range for the gain of 40 dB has been experimentally validated on an existing 0.13 $\mu$m SiGe BiCMOS TWA. During the gain tuning the group delay and input and output return losses showed almost no variations over the 170 GHz amplifier bandwidth. Moreover, the presented techniques enabled the control of the upper 3 dB corner frequency. The presented results compare well against the state of the art for variable gain distributed amplifiers (VGDAs) with outstanding bandwidth of operation and gain tuning range.
Bibtex
@inproceedings{Testa2015,
abstract = {This paper presents gain and bandwidth control techniques for wideband loss-compensated Travelling Wave Amplifier (TWAs). The behavior of the distributed amplifiers is tuned acting on the circuit bias in two ways: changing the transistor operation points from saturation to forward active, and tuning the amplifier loss compensation. A tuning range for the gain of 40 dB has been experimentally validated on an existing 0.13 $\mu$m SiGe BiCMOS TWA. During the gain tuning the group delay and input and output return losses showed almost no variations over the 170 GHz amplifier bandwidth. Moreover, the presented techniques enabled the control of the upper 3 dB corner frequency. The presented results compare well against the state of the art for variable gain distributed amplifiers (VGDAs) with outstanding bandwidth of operation and gain tuning range.},
author = {Testa, Paolo Valerio and Carta, Corrado and Ellinger, Frank},
booktitle = {2015 SBMO/IEEE MTT-S International Microwave and Optoelectronics Conference (IMOC)},
doi = {10.1109/IMOC.2015.7369067},
isbn = {978-1-4673-9492-5},
keywords = {AIM,Bandwidth,BiCMOS integrated circuits,DAAB,Delays,Distributed amplifiers,Gain,Ge-Si alloys,HAEC-A01,SPARS,SiGe,SiGe BiCMOS,SiGe BiCMOS TWA,Tes15d,Transmission line measurements,Tuning,VGDA,amplifier loss compensation,bandwidth 170 GHz,bandwidth control techniques,cfAED-Res,circuit bias,distributed amplifiers,gain 40 dB,gain control,gain control techniques,gain tuning,size 0.13 mum,transistor operation points,travelling wave amplifiers,ultra-wideband (UWB),variable gain,variable gain distributed amplifiers,wideband loss-compensated travelling wave amplifie},
mendeley-groups = {CC-Own/Proceedings},
mendeley-tags = {AIM,DAAB,HAEC-A01,SPARS,Tes15d,cfAED-Res},
month = {nov},
pages = {1--4},
publisher = {IEEE},
shorttitle = {Microwave and Optoelectronics Conference (IMOC), 2},
title = ,
url = {http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=7369067},
year = {2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Norman A. Rink, Jeronimo Castrillon, "Improving Code Generation for Software-based Error Detection", Proceedings of the 1st International Workshop on Resiliency in Embedded Electronic Systems (REES), co-located with ESWEEK 2015, pp. 16–30, Amsterdam, The Netherlands, Oct 2015. ([link]) [Bibtex & Downloads]
Improving Code Generation for Software-based Error Detection
Reference
Norman A. Rink, Jeronimo Castrillon, "Improving Code Generation for Software-based Error Detection", Proceedings of the 1st International Workshop on Resiliency in Embedded Electronic Systems (REES), co-located with ESWEEK 2015, pp. 16–30, Amsterdam, The Netherlands, Oct 2015. ([link])
Bibtex
@InProceedings{rink_ress15,
Title={Improving Code Generation for Software-based Error Detection},
Author={Rink, Norman A. and Castrillon, Jeronimo},
Booktitle={Proceedings of the 1st International Workshop on Resiliency in Embedded Electronic Systems (REES), co-located with ESWEEK 2015},
Year={2015},
Series={REES 2015},
Address={Amsterdam, The Netherlands},
Month=oct,
Pages={16--30},
}Downloads
1510_Rink_REES [PDF]
Related Paths
Orchestration Path, Resilience Path
Permalink
- Jeronimo Castrillon, "Analysis and software synthesis of KPN applications", In Design of Robotics and Embedded systems, Analysis, and Modeling Seminar (DREAMS) (invited talk), Oct 2015. ([link]) [Bibtex & Downloads]
Analysis and software synthesis of KPN applications
Reference
Jeronimo Castrillon, "Analysis and software synthesis of KPN applications", In Design of Robotics and Embedded systems, Analysis, and Modeling Seminar (DREAMS) (invited talk), Oct 2015. ([link])
Abstract
Programming models based on dataflow or process
networks are a good match for streaming
applications, common in the signal processing,
multimedia and automotive domains. In such models,
parallelism is expressed explicitly which makes
them well-suited for programming parallel
machines. Since today's applications are no
longer static, expressive programming models are
needed, such as those based on Kahn Process
Networks (KPNs). In these models, tasks cannot be
handled as black boxes, but have to be analyzed,
profiled and traced to characterize their
behavior. This is especially important in the case
of heterogenous platforms with many processors of
multiple different types. This presentation
describes a tool flow to handle KPN applications
and gives insights into mapping algorithms for
heterogeneous platforms.Bibtex
@Misc{castrillon15_dreams,
Title={Analysis and software synthesis of KPN applications},
Author={Jeronimo Castrillon},
HowPublished={Design of Robotics and Embedded systems, Analysis, and Modeling Seminar (DREAMS) (invited talk)},
Month=oct,
Year={2015},
Day={22},
Location={Berkeley, CA, USA},
Abstract={Programming models based on dataflow or process
networks are a good match for streaming
applications, common in the signal processing,
multimedia and automotive domains. In such models,
parallelism is expressed explicitly which makes
them well-suited for programming parallel
machines. Since today's applications are no
longer static, expressive programming models are
needed, such as those based on Kahn Process
Networks (KPNs). In these models, tasks cannot be
handled as black boxes, but have to be analyzed,
profiled and traced to characterize their
behavior. This is especially important in the case
of heterogenous platforms with many processors of
multiple different types. This presentation
describes a tool flow to handle KPN applications
and gives insights into mapping algorithms for
heterogeneous platforms.},
url={https://cfaed.tu-dresden.de/files/user/jcastrillon/publications/151022_castrillon_dreams.pdf},
}Downloads
151022_castrillon_dreams [PDF]
Related Paths
Orchestration Path, Resilience Path
Permalink
- R. Santos, S. Venkataraman, Akash Kumar, "Generic Scrubbing-based Architecture for Custom Error Correction Algorithms", In Proceeding: Rapid System Prototyping (RSP), 2015 26th IEEE International Symposium on, Oct 2015. [Bibtex & Downloads]
Generic Scrubbing-based Architecture for Custom Error Correction Algorithms
Reference
R. Santos, S. Venkataraman, Akash Kumar, "Generic Scrubbing-based Architecture for Custom Error Correction Algorithms", In Proceeding: Rapid System Prototyping (RSP), 2015 26th IEEE International Symposium on, Oct 2015.
Bibtex
@INPROCEEDINGS{Santos2015,
author={Santos, R. and Venkataraman, S. and Kumar, Akash},
title={Generic Scrubbing-based Architecture for Custom Error Correction Algorithms},
booktitle={Rapid System Prototyping (RSP), 2015 26th IEEE International Symposium on},
Organization={IEEE},
month={oct},
year={2015}
}Downloads
RSP2015_final [PDF]
Related Paths
Permalink
- G. Muri\'c, C. Scheunert, E. A. Jorswieck, "On modeling epidemics in networks using linear time-invariant dynamics", In Proceeding: Wireless and Mobile Computing, Networking and Communications (WiMob), 2015 IEEE 11th International Conference on, pp. 138-146, Oct 2015. [doi] [Bibtex & Downloads]
On modeling epidemics in networks using linear time-invariant dynamics
Reference
G. Muri\'c, C. Scheunert, E. A. Jorswieck, "On modeling epidemics in networks using linear time-invariant dynamics", In Proceeding: Wireless and Mobile Computing, Networking and Communications (WiMob), 2015 IEEE 11th International Conference on, pp. 138-146, Oct 2015. [doi]
Bibtex
@inproceedings{7347953,
Author = {G. Muri{\'c} and C. Scheunert and E. A. Jorswieck},
Booktitle = {Wireless and Mobile Computing, Networking and Communications (WiMob), 2015 IEEE 11th International Conference on},
Date-Added = {2016-11-07 06:43:59 +0000},
Date-Modified = {2016-11-07 06:43:59 +0000},
Doi = {10.1109/WiMOB.2015.7347953},
Keywords = {computer network security;computer viruses;directed graphs;optimisation;telecommunication network topology;agent based simulations;computer networks infection;discrete time step;epidemic dynamics;linear system;linear time-invariant dynamics;network analysis;network modeling epidemics;network optimization;network topology;state space representation;state variables;undirected graph;virus spreading;Biological system modeling;Linear systems;Mathematical model;Network topology;Sociology;Statistics;Topology},
Month = {Oct},
Pages = {138-146},
Title = {On modeling epidemics in networks using linear time-invariant dynamics},
Year = {2015},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/WiMOB.2015.7347953}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Paolo Valerio Testa, Robert Paulo, Corrado Carta, Frank Ellinger, "250 GHz SiGe-BiCMOS Cascaded Single-Stage Distributed Amplifier", In Proceeding: 2015 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS), IEEE, pp. 1–4, Oct 2015. [doi] [Bibtex & Downloads]
250 GHz SiGe-BiCMOS Cascaded Single-Stage Distributed Amplifier
Reference
Paolo Valerio Testa, Robert Paulo, Corrado Carta, Frank Ellinger, "250 GHz SiGe-BiCMOS Cascaded Single-Stage Distributed Amplifier", In Proceeding: 2015 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS), IEEE, pp. 1–4, Oct 2015. [doi]
Abstract
This paper presents a cascaded single-stage distributed amplifier (CSSDA) for wideband applications implemented in a 0.13 &\#956;m SiGe BiCMOS technology (ft = 300 GHz, fmax = 500 GHz). A 3 dB upper frequency of 250 GHz, a bandwidth of 170 GHz, and a gain of 13 dB are demonstrated for the fabricated CSSDA. The circuit requires a chip area of 0.23 mm&\#x000B2; and 74 mW of DC power. Compared against the state of the art, the presented design achieves the highest speed and the smallest area.
Bibtex
@inproceedings{Testa2015c,
abstract = {This paper presents a cascaded single-stage distributed amplifier (CSSDA) for wideband applications implemented in a 0.13 {\&}{\#}956;m SiGe BiCMOS technology (ft = 300 GHz, fmax = 500 GHz). A 3 dB upper frequency of 250 GHz, a bandwidth of 170 GHz, and a gain of 13 dB are demonstrated for the fabricated CSSDA. The circuit requires a chip area of 0.23 mm{\&}{\#}x000B2; and 74 mW of DC power. Compared against the state of the art, the presented design achieves the highest speed and the smallest area.},
author = {Testa, Paolo Valerio and Paulo, Robert and Carta, Corrado and Ellinger, Frank},
booktitle = {2015 IEEE Compound Semiconductor Integrated Circuit Symposium (CSICS)},
doi = {10.1109/CSICS.2015.7314459},
isbn = {978-1-4799-8494-7},
keywords = {AIM,Bandwidth,BiCMOS integrated circuits,Capacitors,DAAB,Distributed amplifiers,Gain,HAEC-01,Resistors,SPARS,Silicon germanium,Tes15b,cfAED-Res},
mendeley-groups = {CC-Own/Proceedings},
mendeley-tags = {AIM,DAAB,HAEC-01,SPARS,Tes15b,cfAED-Res},
month = {oct},
pages = {1--4},
publisher = {IEEE},
shorttitle = {Compound Semiconductor Integrated Circuit Symposiu},
title = ,
url = {http://ieeexplore.ieee.org/lpdocs/epic03/wrapper.htm?arnumber=7314459},
year = {2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Norman A. Rink, Dmitrii Kuvaiskii, Jeronimo Castrillon, Christof Fetzer, "Compiling for Resilience: the Performance Gap", Chapter in Parallel Computing: On the Road to Exascale (ParCo 2015). Extended from Proceedings of the Mini-Symposium on Energy and Resilience in Parallel Programming (ERPP 2015) (Gerhard R. Joubert and Hugh Leather and Mark Parsons and Frans Peters and Mark Sawyer), IOS Press, vol. 27, pp. 721–730, Edinburgh, Scotland, Sep 2015. [doi] [Bibtex & Downloads]
Compiling for Resilience: the Performance Gap
Reference
Norman A. Rink, Dmitrii Kuvaiskii, Jeronimo Castrillon, Christof Fetzer, "Compiling for Resilience: the Performance Gap", Chapter in Parallel Computing: On the Road to Exascale (ParCo 2015). Extended from Proceedings of the Mini-Symposium on Energy and Resilience in Parallel Programming (ERPP 2015) (Gerhard R. Joubert and Hugh Leather and Mark Parsons and Frans Peters and Mark Sawyer), IOS Press, vol. 27, pp. 721–730, Edinburgh, Scotland, Sep 2015. [doi]
Abstract
In order to perform reliable computations on unreliable hardware, software-based protection mechanisms have been proposed. In this paper we present a compiler infrastructure for software-based code hardening based on encoding. We analyze the trade-off between performance and fault coverage. We look at different code generation strategies that improve the performance of hardened programs by up to 2x while incurring little fault coverage degradation.
Bibtex
@InCollection{rink_erpp2015,
author={Rink, Norman A. and Kuvaiskii, Dmitrii and Castrillon, Jeronimo and Fetzer, Christof},
title={Compiling for Resilience: the Performance Gap},
booktitle={Parallel Computing: On the Road to Exascale (ParCo 2015). Extended from Proceedings of the Mini-Symposium on Energy and Resilience in Parallel Programming (ERPP 2015)},
publisher={IOS Press},
year={2015},
editor={Gerhard R. Joubert and Hugh Leather and Mark Parsons and Frans Peters and Mark Sawyer},
volume={27},
series={ParCo 2015},
pages={721--730},
address={Edinburgh, Scotland},
month=sep,
abstract={In order to perform reliable computations on unreliable hardware, software-based protection mechanisms have been proposed. In this paper we present a compiler infrastructure for software-based code hardening based on encoding. We analyze the trade-off between performance and fault coverage. We look at different code generation strategies that improve the performance of hardened programs by up to 2x while incurring little fault coverage degradation.},
doi={10.3233/978-1-61499-621-7-721},
}Downloads
No Downloads available for this publication
Related Paths
Orchestration Path, Resilience Path
Permalink
- S. Salimi, E. A. Jorswieck, M. Skoglund, P. Papadimitratos, "Key agreement over an interference channel with noiseless feedback: Achievable region distributed allocation", In Proceeding: Communications and Network Security (CNS), 2015 IEEE Conference on, pp. 59-64, Sept 2015. [doi] [Bibtex & Downloads]
Key agreement over an interference channel with noiseless feedback: Achievable region distributed allocation
Reference
S. Salimi, E. A. Jorswieck, M. Skoglund, P. Papadimitratos, "Key agreement over an interference channel with noiseless feedback: Achievable region distributed allocation", In Proceeding: Communications and Network Security (CNS), 2015 IEEE Conference on, pp. 59-64, Sept 2015. [doi]
Bibtex
@inproceedings{7346811,
Author = {S. Salimi and E. A. Jorswieck and M. Skoglund and P. Papadimitratos},
Booktitle = {Communications and Network Security (CNS), 2015 IEEE Conference on},
Date-Added = {2016-11-07 06:37:36 +0000},
Date-Modified = {2016-11-07 06:37:36 +0000},
Doi = {10.1109/CNS.2015.7346811},
Keywords = {Gaussian channels;channel allocation;game theory;private key cryptography;radiofrequency interference;wireless channels;BS-user communication;Gaussian IC;Nash equilibrium;Noiseless Feedback;base station;game theoretic;interference channel allocation;key agreement;power allocation;public channel;secret key establishment;Base stations;Downlink;Interference channels;Resource management;Security;Yttrium},
Month = {Sept},
Pages = {59-64},
Title = {Key agreement over an interference channel with noiseless feedback: Achievable region distributed allocation},
Year = {2015},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/CNS.2015.7346811}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- A. K. Chorppath, F. Shen, T. Alpcan, E. Jorswieck, H. Boche, "Bayesian mechanisms and learning for wireless networks security with QoS requirements", In Proceeding: 2015 IEEE International Conference on Communications (ICC), pp. 7180-7185, June 2015. [doi] [Bibtex & Downloads]
Bayesian mechanisms and learning for wireless networks security with QoS requirements
Reference
A. K. Chorppath, F. Shen, T. Alpcan, E. Jorswieck, H. Boche, "Bayesian mechanisms and learning for wireless networks security with QoS requirements", In Proceeding: 2015 IEEE International Conference on Communications (ICC), pp. 7180-7185, June 2015. [doi]
Bibtex
@inproceedings{7249472,
Author = {A. K. Chorppath and F. Shen and T. Alpcan and E. Jorswieck and H. Boche},
Booktitle = {2015 IEEE International Conference on Communications (ICC)},
Date-Added = {2016-11-07 06:29:03 +0000},
Date-Modified = {2016-11-07 06:29:03 +0000},
Doi = {10.1109/ICC.2015.7249472},
Issn = {1550-3607},
Keywords = {belief networks;game theory;learning (artificial intelligence);probability;quality of service;radiocommunication;resource allocation;telecommunication security;BNE;Bayesian Nash Equilibrium;Bayesian pricing mechanisms;QoS;Quality of Service;detection methods;probabilistic information;regression learning algorithms;resource allocation;wireless networks security;Bayes methods;Games;Interference;Pricing;Quality of service;Signal to noise ratio;Wireless networks},
Month = {June},
Pages = {7180-7185},
Title = {Bayesian mechanisms and learning for wireless networks security with QoS requirements},
Year = {2015},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/ICC.2015.7249472}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dmitrii Kuvaiskii, Christof Fetzer, "&\# x0394;-Encoding: Practical Encoded Processing", In Proceeding: Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on, pp. 13–24, 2015. [Bibtex & Downloads]
&\# x0394;-Encoding: Practical Encoded Processing
Reference
Dmitrii Kuvaiskii, Christof Fetzer, "&\# x0394;-Encoding: Practical Encoded Processing", In Proceeding: Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on, pp. 13–24, 2015.
Bibtex
@inproceedings{kuvaiskii2015amp,
title={\&\# x0394;-Encoding: Practical Encoded Processing},
author={Kuvaiskii, Dmitrii and Fetzer, Christof},
booktitle={Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on},
pages={13--24},
year={2015},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Resilience Path, Resilience Path
Permalink
- Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Locks: Picking key methods for a scalable quantitative analysis", In Journal of Computer and System Sciences, Elsevier, vol. 81, no. 1, pp. 258–287, 2015. [doi] [Bibtex & Downloads]
Locks: Picking key methods for a scalable quantitative analysis
Reference
Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Locks: Picking key methods for a scalable quantitative analysis", In Journal of Computer and System Sciences, Elsevier, vol. 81, no. 1, pp. 258–287, 2015. [doi]
Bibtex
@article{baier2015locks,
title={Locks: Picking key methods for a scalable quantitative analysis},
author={Baier, Christel and Daum, Marcus and Engel, Benjamin and H{\"a}rtig, Hermann and Klein, Joachim and Kl{\"u}ppelholz, Sascha and M{\"a}rcker, Steffen and Tews, Hendrik and V{\"o}lp, Marcus},
journal={Journal of Computer and System Sciences},
volume={81},
number={1},
pages={258--287},
year={2015},
publisher={Elsevier},
doi={10.1016/j.jcss.2014.06.004}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Clemens Dubslaff, Christel Baier, Sascha Klüppelholz, "Probabilistic Model Checking for Feature-Oriented Systems", Chapter in Transactions on Aspect-Oriented Software Development XII, Springer, pp. 180–220, 2015. [doi] [Bibtex & Downloads]
Probabilistic Model Checking for Feature-Oriented Systems
Reference
Clemens Dubslaff, Christel Baier, Sascha Klüppelholz, "Probabilistic Model Checking for Feature-Oriented Systems", Chapter in Transactions on Aspect-Oriented Software Development XII, Springer, pp. 180–220, 2015. [doi]
Bibtex
@incollection{dubslaff2015probabilistic,
title={Probabilistic Model Checking for Feature-Oriented Systems},
author={Dubslaff, Clemens and Baier, Christel and Kl{\"u}ppelholz, Sascha},
booktitle={Transactions on Aspect-Oriented Software Development XII},
pages={180--220},
year={2015},
publisher={Springer},
doi={10.1007/978-3-662-46734-3_5}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Diogo Behrens, Marco Serafini, "Scalable Error Isolation for Distributed Systems", In technology, vol. 26, pp. 51, 2015. [Bibtex & Downloads]
Scalable Error Isolation for Distributed Systems
Reference
Diogo Behrens, Marco Serafini, "Scalable Error Isolation for Distributed Systems", In technology, vol. 26, pp. 51, 2015.
Bibtex
@article{behrens2015scalable,
title={Scalable Error Isolation for Distributed Systems},
author={Behrens, Diogo and Serafini, Marco},
journal={technology},
volume={26},
pages={51},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- G. Tretter, D. Fritsche, JD Leufker, C. Carta, F. Ellinger, "Zero-Ohm transmission lines for millimetre-wave circuits in 28 nm digital CMOS", In Electronics Letters, IET, 2015. [doi] [Bibtex & Downloads]
Zero-Ohm transmission lines for millimetre-wave circuits in 28 nm digital CMOS
Reference
G. Tretter, D. Fritsche, JD Leufker, C. Carta, F. Ellinger, "Zero-Ohm transmission lines for millimetre-wave circuits in 28 nm digital CMOS", In Electronics Letters, IET, 2015. [doi]
Bibtex
@article{tretter2015zero,
title={Zero-Ohm transmission lines for millimetre-wave circuits in 28 nm digital CMOS},
author={Tretter, G and Fritsche, D and Leufker, JD and Carta, C and Ellinger, F},
journal={Electronics Letters},
year={2015},
publisher={IET},
doi={10.1049/el.2015.0903}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Jan Dirk Leufker, Corrado Carta, Frank Ellinger, "A 60 GHz 24.5 dBm wideband distributed active transformer power amplifier on 250 nm BiCMOS", In Proceeding: Microwave Conference (GeMiC), 2015 German, pp. 139–141, 2015. [doi] [Bibtex & Downloads]
A 60 GHz 24.5 dBm wideband distributed active transformer power amplifier on 250 nm BiCMOS
Reference
Jan Dirk Leufker, Corrado Carta, Frank Ellinger, "A 60 GHz 24.5 dBm wideband distributed active transformer power amplifier on 250 nm BiCMOS", In Proceeding: Microwave Conference (GeMiC), 2015 German, pp. 139–141, 2015. [doi]
Bibtex
@inproceedings{leufker201560,
title={A 60 GHz 24.5 dBm wideband distributed active transformer power amplifier on 250 nm BiCMOS},
author={Leufker, Jan Dirk and Carta, Corrado and Ellinger, Frank},
booktitle={Microwave Conference (GeMiC), 2015 German},
pages={139--141},
year={2015},
organization={IEEE},
doi={10.1109/GEMIC.2015.7107772}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Felix Distel, Rafael Peñaloza, "The limits of decidability in fuzzy description logics with general concept inclusions", In Artificial Intelligence, Elsevier, vol. 218, pp. 23–55, 2015. [doi] [Bibtex & Downloads]
The limits of decidability in fuzzy description logics with general concept inclusions
Reference
Stefan Borgwardt, Felix Distel, Rafael Peñaloza, "The limits of decidability in fuzzy description logics with general concept inclusions", In Artificial Intelligence, Elsevier, vol. 218, pp. 23–55, 2015. [doi]
Bibtex
@article{borgwardt2015limits,
title={The limits of decidability in fuzzy description logics with general concept inclusions},
author={Borgwardt, Stefan and Distel, Felix and Pe{\~n}aloza, Rafael},
journal={Artificial Intelligence},
volume={218},
pages={23--55},
year={2015},
publisher={Elsevier},
doi={10.1016/j.artint.2014.09.001}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Marco Cerami, Rafael Peñaloza, "The Complexity of Subsumption in Fuzzy EL", 2015. [Bibtex & Downloads]
The Complexity of Subsumption in Fuzzy EL
Reference
Stefan Borgwardt, Marco Cerami, Rafael Peñaloza, "The Complexity of Subsumption in Fuzzy EL", 2015.
Bibtex
@article{borgwardtcomplexity,
title={The Complexity of Subsumption in Fuzzy EL},
author={Borgwardt, Stefan and Cerami, Marco and Pe{\~n}aloza, Rafael},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- S. Hoppner, Dennis Walter, Thomas Hocker, Stephan Henker, S. Hanzsche, Daniel Sausner, Georg Ellguth, J-U Schlussler, Holger Eisenreich, R. Schuffny, "An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture With Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS", IEEE, 2015. [doi] [Bibtex & Downloads]
An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture With Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS
Reference
S. Hoppner, Dennis Walter, Thomas Hocker, Stephan Henker, S. Hanzsche, Daniel Sausner, Georg Ellguth, J-U Schlussler, Holger Eisenreich, R. Schuffny, "An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture With Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS", IEEE, 2015. [doi]
Bibtex
@article{hoppner2015energy,
title={An Energy Efficient Multi-Gbit/s NoC Transceiver Architecture With Combined AC/DC Drivers and Stoppable Clocking in 65 nm and 28 nm CMOS},
author={Hoppner, S and Walter, Dennis and Hocker, Thomas and Henker, Stephan and Hanzsche, S and Sausner, Daniel and Ellguth, Georg and Schlussler, J-U and Eisenreich, Holger and Schuffny, R},
year={2015},
publisher={IEEE},
doi={10.1109/JSSC.2014.2381637}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Till Kolditz, Benjamin Schlegel, Dirk Habich, Wolfgang Lehner, "Online Bit Flip Detection for In-Memory B-Trees Live!", 2015. [Bibtex & Downloads]
Online Bit Flip Detection for In-Memory B-Trees Live!
Reference
Till Kolditz, Benjamin Schlegel, Dirk Habich, Wolfgang Lehner, "Online Bit Flip Detection for In-Memory B-Trees Live!", 2015.
Bibtex
@article{kolditzonline,
title={Online Bit Flip Detection for In-Memory B-Trees Live!},
author={Kolditz, Till and Schlegel, Benjamin and Habich, Dirk and Lehner, Wolfgang},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Daniel Krähmann, Jana Schubert, Christel Baier, Clemens Dubslaff, "Ratio and weight quantiles", Chapter in Mathematical Foundations of Computer Science 2015, Springer, pp. 344–356, 2015. [Bibtex & Downloads]
Ratio and weight quantiles
Reference
Daniel Krähmann, Jana Schubert, Christel Baier, Clemens Dubslaff, "Ratio and weight quantiles", Chapter in Mathematical Foundations of Computer Science 2015, Springer, pp. 344–356, 2015.
Bibtex
@incollection{krahmann2015ratio,
title={Ratio and weight quantiles},
author={Kr{\"a}hmann, Daniel and Schubert, Jana and Baier, Christel and Dubslaff, Clemens},
booktitle={Mathematical Foundations of Computer Science 2015},
pages={344--356},
year={2015},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Stefan Borgwardt, Rafael Peñaloza, "Reasoning in Expressive Description Logics under Infinitely Valued Gödel Semantics", Frontiers of Combining Systems - 10th International Symposium, FroCoS 2015, Wroclaw, Poland, September 21-24, 2015. Proceedings (Carsten Lutz and
Silvio Ranise), Springer, vol. 9322, pp. 49-65, 2015. [doi] [Bibtex & Downloads]
Reasoning in Expressive Description Logics under Infinitely Valued Gödel Semantics
Reference
Stefan Borgwardt, Rafael Peñaloza, "Reasoning in Expressive Description Logics under Infinitely Valued Gödel Semantics", Frontiers of Combining Systems - 10th International Symposium, FroCoS 2015, Wroclaw, Poland, September 21-24, 2015. Proceedings (Carsten Lutz and Silvio Ranise), Springer, vol. 9322, pp. 49-65, 2015. [doi]
Bibtex
@InProceedings{conf/frocos/BorgwardtP15,
author = {Stefan Borgwardt and Rafael Pe{\~{n}}aloza},
title = {Reasoning in Expressive Description Logics under Infinitely Valued {G{\"{o}}del} Semantics},
booktitle = {Frontiers of Combining Systems - 10th International Symposium, FroCoS 2015, Wroclaw, Poland, September 21-24, 2015. Proceedings},
pages = {49-65},
doi = {10.1007/978-3-319-24246-0_4},
url = {http://dx.doi.org/10.1007/978-3-319-24246-0_4},
editor = {Carsten Lutz and
Silvio Ranise},
series = {Lecture Notes in Computer Science},
volume = {9322},
publisher = {Springer},
year = {2015},
isbn = {978-3-319-24245-3},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Clemens Dubslaff, Christel Baier, "Quantitative Analysis of Communication Scenarios", Chapter in Formal Modeling and Analysis of Timed Systems, Springer, pp. 76–92, 2015. [Bibtex & Downloads]
Quantitative Analysis of Communication Scenarios
Reference
Clemens Dubslaff, Christel Baier, "Quantitative Analysis of Communication Scenarios", Chapter in Formal Modeling and Analysis of Timed Systems, Springer, pp. 76–92, 2015.
Bibtex
@incollection{dubslaff2015quantitative,
title={Quantitative Analysis of Communication Scenarios},
author={Dubslaff, Clemens and Baier, Christel},
booktitle={Formal Modeling and Analysis of Timed Systems},
pages={76--92},
year={2015},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Probabilistic Query Answering in the Bayesian Description Logic$\backslash$ mathcal $\{$BE$\{$$}$L$}$", Chapter in Scalable Uncertainty Management, Springer, pp. 21–35, 2015. [Bibtex & Downloads]
Probabilistic Query Answering in the Bayesian Description Logic$\backslash$ mathcal $\{$BE$\{$$}$L$}$
Reference
\.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Probabilistic Query Answering in the Bayesian Description Logic$\backslash$ mathcal $\{$BE$\{$$}$L$}$", Chapter in Scalable Uncertainty Management, Springer, pp. 21–35, 2015.
Bibtex
@incollection{ceylan2015probabilistic,
title={Probabilistic Query Answering in the Bayesian Description Logic$\backslash$ mathcal $\{$BE$\{$$\}$L$\}$},
author={Ceylan, {\.I}smail {\.I}lkan and Pe{\~n}aloza, Rafael},
booktitle={Scalable Uncertainty Management},
pages={21--35},
year={2015},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Resilience Path, Resilience Path
Permalink
- \.Ismail \.Ilkan Ceylan, Thomas Lukasiewicz, RafaelPeñaloza, "Answering EL Queries in the Presence of Preferences", Proceedings of the 28th International Workshop on Description
Logics (DL'15) (Diego Calvanese and Boris Konev), CEUR Workshop Proceedings, vol. 1350, 2015. [Bibtex & Downloads]
Answering EL Queries in the Presence of Preferences
Reference
\.Ismail \.Ilkan Ceylan, Thomas Lukasiewicz, RafaelPeñaloza, "Answering EL Queries in the Presence of Preferences", Proceedings of the 28th International Workshop on Description Logics (DL'15) (Diego Calvanese and Boris Konev), CEUR Workshop Proceedings, vol. 1350, 2015.
Bibtex
@inproceedings{CLP2015,
author={{\.{I}}smail {\.{I}}lkan Ceylan and Thomas Lukasiewicz and Rafael
Pe{\~{n}}aloza},
title={Answering {EL} Queries in the Presence of Preferences},
editor={Diego Calvanese and Boris Konev},
booktitle={Proceedings of the 28th International Workshop on Description
Logics (DL'15)},
volume={1350},
publisher={CEUR Workshop Proceedings},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Dynamic Bayesian Description Logics", Proceedings of the 28th International Workshop on Description
Logics (DL'15) (Diego Calvanese and Boris Konev), CEUR Workshop Proceedings, vol. 1350, 2015. [Bibtex & Downloads]
Dynamic Bayesian Description Logics
Reference
\.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Dynamic Bayesian Description Logics", Proceedings of the 28th International Workshop on Description Logics (DL'15) (Diego Calvanese and Boris Konev), CEUR Workshop Proceedings, vol. 1350, 2015.
Bibtex
@inproceedings{CP2015,
author={{\.{I}}smail {\.{I}}lkan Ceylan and Rafael Pe{\~{n}}aloza},
title={Dynamic Bayesian Description Logics},
editor={Diego Calvanese and Boris Konev},
booktitle={Proceedings of the 28th International Workshop on Description
Logics (DL'15)},
volume={1350},
publisher={CEUR Workshop Proceedings},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christel Baier, "Reasoning About Cost-Utility Constraints in Probabilistic Models", Chapter in Reachability Problems, Springer, pp. 1–6, 2015. [Bibtex & Downloads]
Reasoning About Cost-Utility Constraints in Probabilistic Models
Reference
Christel Baier, "Reasoning About Cost-Utility Constraints in Probabilistic Models", Chapter in Reachability Problems, Springer, pp. 1–6, 2015.
Bibtex
@incollection{baier2015reasoning,
title={Reasoning About Cost-Utility Constraints in Probabilistic Models},
author={Baier, Christel},
booktitle={Reachability Problems},
pages={1--6},
year={2015},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Sebastian Hoppner, Stefan Haenzsche, Stefan Scholze, Rene Schuffny, "An all-digital PWM generator with 62.5 ps resolution in 28nm CMOS technology", In Proceeding: Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 1738–1741, 2015. [Bibtex & Downloads]
An all-digital PWM generator with 62.5 ps resolution in 28nm CMOS technology
Reference
Sebastian Hoppner, Stefan Haenzsche, Stefan Scholze, Rene Schuffny, "An all-digital PWM generator with 62.5 ps resolution in 28nm CMOS technology", In Proceeding: Circuits and Systems (ISCAS), 2015 IEEE International Symposium on, pp. 1738–1741, 2015.
Bibtex
@inproceedings{hoppner2015all,
title={An all-digital PWM generator with 62.5 ps resolution in 28nm CMOS technology},
author={Hoppner, Sebastian and Haenzsche, Stefan and Scholze, Stefan and Schuffny, Rene},
booktitle={Circuits and Systems (ISCAS), 2015 IEEE International Symposium on},
pages={1738--1741},
year={2015},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Andreas Dixius, Dennis Walter, Sebastian Hoppner, Holger Eisenreich, Rene Schuffny, "A deep-submicron CMOS flow for general-purpose timing-detection insertion", In Proceeding: Mixed Design of Integrated Circuits & Systems (MIXDES), 2015 22nd International Conference, pp. 248–253, 2015. [Bibtex & Downloads]
A deep-submicron CMOS flow for general-purpose timing-detection insertion
Reference
Andreas Dixius, Dennis Walter, Sebastian Hoppner, Holger Eisenreich, Rene Schuffny, "A deep-submicron CMOS flow for general-purpose timing-detection insertion", In Proceeding: Mixed Design of Integrated Circuits & Systems (MIXDES), 2015 22nd International Conference, pp. 248–253, 2015.
Bibtex
@inproceedings{dixius2015deep,
title={A deep-submicron CMOS flow for general-purpose timing-detection insertion},
author={Dixius, Andreas and Walter, Dennis and Hoppner, Sebastian and Eisenreich, Holger and Schuffny, Rene},
booktitle={Mixed Design of Integrated Circuits \& Systems (MIXDES), 2015 22nd International Conference},
pages={248--253},
year={2015},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Joachim Klein, Christel Baier, Sascha Klüppelholz, "Compositional construction of most general controllers", In Acta Informatica, Springer, pp. 1–40, 2015. [Bibtex & Downloads]
Compositional construction of most general controllers
Reference
Joachim Klein, Christel Baier, Sascha Klüppelholz, "Compositional construction of most general controllers", In Acta Informatica, Springer, pp. 1–40, 2015.
Bibtex
@article{klein2015compositional,
title={Compositional construction of most general controllers},
author={Klein, Joachim and Baier, Christel and Kl{\"u}ppelholz, Sascha},
journal={Acta Informatica},
pages={1--40},
year={2015},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Stefan Borgwardt, Rafael Peñaloza, "Reasoning in Infinitely Valued G-IALCQ", In arXiv preprint arXiv:1509.08761, 2015. [Bibtex & Downloads]
Reasoning in Infinitely Valued G-IALCQ
Reference
Stefan Borgwardt, Rafael Peñaloza, "Reasoning in Infinitely Valued G-IALCQ", In arXiv preprint arXiv:1509.08761, 2015.
Bibtex
@article{borgwardt2015reasoning,
title={Reasoning in Infinitely Valued G-IALCQ},
author={Borgwardt, Stefan and Pe{\~n}aloza, Rafael},
journal={arXiv preprint arXiv:1509.08761},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Dynamic Bayesian ontology languages", In arXiv preprint arXiv:1506.08030, 2015. [Bibtex & Downloads]
Dynamic Bayesian ontology languages
Reference
\.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Dynamic Bayesian ontology languages", In arXiv preprint arXiv:1506.08030, 2015.
Bibtex
@article{ceylan2015dynamic,
title={Dynamic Bayesian ontology languages},
author={Ceylan, {\.I}smail {\.I}lkan and Pe{\~n}aloza, Rafael},
journal={arXiv preprint arXiv:1506.08030},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Mario Alviano, Rafael Penaloza, "Fuzzy answer set computation via satisfiability modulo theories", In Theory and Practice of Logic Programming, Cambridge Univ Press, vol. 15, no. 4-5, pp. 588–603, 2015. [Bibtex & Downloads]
Fuzzy answer set computation via satisfiability modulo theories
Reference
Mario Alviano, Rafael Penaloza, "Fuzzy answer set computation via satisfiability modulo theories", In Theory and Practice of Logic Programming, Cambridge Univ Press, vol. 15, no. 4-5, pp. 588–603, 2015.
Bibtex
@article{alviano2015fuzzy,
title={Fuzzy answer set computation via satisfiability modulo theories},
author={Alviano, Mario and Penaloza, Rafael},
journal={Theory and Practice of Logic Programming},
volume={15},
number={4-5},
pages={588--603},
year={2015},
publisher={Cambridge Univ Press}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Daniel Borchmann, "Exploring Faulty Data", Chapter in Formal Concept Analysis, Springer, pp. 219–235, 2015. [Bibtex & Downloads]
Exploring Faulty Data
Reference
Daniel Borchmann, "Exploring Faulty Data", Chapter in Formal Concept Analysis, Springer, pp. 219–235, 2015.
Bibtex
@incollection{borchmann2015exploring,
title={Exploring Faulty Data},
author={Borchmann, Daniel},
booktitle={Formal Concept Analysis},
pages={219--235},
year={2015},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Andre Martin, Tiaraju Smaneoto, Tobias Dietze, Andrey Brito, Christof Fetzer, "User-Constraint and Self-Adaptive Fault Tolerance for Event Stream Processing Systems", In Proceeding: Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on, pp. 462–473, 2015. [Bibtex & Downloads]
User-Constraint and Self-Adaptive Fault Tolerance for Event Stream Processing Systems
Reference
Andre Martin, Tiaraju Smaneoto, Tobias Dietze, Andrey Brito, Christof Fetzer, "User-Constraint and Self-Adaptive Fault Tolerance for Event Stream Processing Systems", In Proceeding: Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on, pp. 462–473, 2015.
Bibtex
@inproceedings{martin2015user,
title={User-Constraint and Self-Adaptive Fault Tolerance for Event Stream Processing Systems},
author={Martin, Andre and Smaneoto, Tiaraju and Dietze, Tobias and Brito, Andrey and Fetzer, Christof},
booktitle={Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on},
pages={462--473},
year={2015},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Marko Noack, Johannes Partzsch, Christian G Mayr, Stefan Hänzsche, Stefan Scholze, Sebastian Höppner, Georg Ellguth, Rene Schüffny, "Switched-capacitor realization of presynaptic short-term-plasticity and stop-learning synapses in 28 nm CMOS", In Frontiers in neuroscience, Frontiers Media SA, vol. 9, 2015. [Bibtex & Downloads]
Switched-capacitor realization of presynaptic short-term-plasticity and stop-learning synapses in 28 nm CMOS
Reference
Marko Noack, Johannes Partzsch, Christian G Mayr, Stefan Hänzsche, Stefan Scholze, Sebastian Höppner, Georg Ellguth, Rene Schüffny, "Switched-capacitor realization of presynaptic short-term-plasticity and stop-learning synapses in 28 nm CMOS", In Frontiers in neuroscience, Frontiers Media SA, vol. 9, 2015.
Bibtex
@article{noack2015switched,
title={Switched-capacitor realization of presynaptic short-term-plasticity and stop-learning synapses in 28 nm CMOS},
author={Noack, Marko and Partzsch, Johannes and Mayr, Christian G and H{\"a}nzsche, Stefan and Scholze, Stefan and H{\"o}ppner, Sebastian and Ellguth, Georg and Sch{\"u}ffny, Rene},
journal={Frontiers in neuroscience},
volume={9},
year={2015},
publisher={Frontiers Media SA}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Theofilos Mailis, Rafael Peñaloza, Anni-Yasmin Turhan, "Answering Fuzzy Conjunctive Queries over Finitely Valued Fuzzy Ontologies", In arXiv preprint arXiv:1508.02626, 2015. [Bibtex & Downloads]
Answering Fuzzy Conjunctive Queries over Finitely Valued Fuzzy Ontologies
Reference
Stefan Borgwardt, Theofilos Mailis, Rafael Peñaloza, Anni-Yasmin Turhan, "Answering Fuzzy Conjunctive Queries over Finitely Valued Fuzzy Ontologies", In arXiv preprint arXiv:1508.02626, 2015.
Bibtex
@article{borgwardt2015answering,
title={Answering Fuzzy Conjunctive Queries over Finitely Valued Fuzzy Ontologies},
author={Borgwardt, Stefan and Mailis, Theofilos and Pe{\~n}aloza, Rafael and Turhan, Anni-Yasmin},
journal={arXiv preprint arXiv:1508.02626},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Johannes Richter, Christian Scheunert, Sabrina Engelmann, Eduard A Jorswieck, "Secrecy in the two-way untrusted relay channel with compute-and-forward", In Proceeding: Communications (ICC), 2015 IEEE International Conference on, pp. 4357–4362, 2015. [Bibtex & Downloads]
Secrecy in the two-way untrusted relay channel with compute-and-forward
Reference
Johannes Richter, Christian Scheunert, Sabrina Engelmann, Eduard A Jorswieck, "Secrecy in the two-way untrusted relay channel with compute-and-forward", In Proceeding: Communications (ICC), 2015 IEEE International Conference on, pp. 4357–4362, 2015.
Bibtex
@inproceedings{richter2015secrecy,
title={Secrecy in the two-way untrusted relay channel with compute-and-forward},
author={Richter, Johannes and Scheunert, Christian and Engelmann, Sabrina and Jorswieck, Eduard A},
booktitle={Communications (ICC), 2015 IEEE International Conference on},
pages={4357--4362},
year={2015},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Marwen Zorgui, Zouheir Rezki, Basel Alomair, Eduard A Jorswieck, Mohamed-Slim Alouini, "Secret-key agreement over spatially correlated multiple-antenna channels in the low-SNR regime", In Proceeding: Communications and Network Security (CNS), 2015 IEEE Conference on, pp. 719–720, 2015. [Bibtex & Downloads]
Secret-key agreement over spatially correlated multiple-antenna channels in the low-SNR regime
Reference
Marwen Zorgui, Zouheir Rezki, Basel Alomair, Eduard A Jorswieck, Mohamed-Slim Alouini, "Secret-key agreement over spatially correlated multiple-antenna channels in the low-SNR regime", In Proceeding: Communications and Network Security (CNS), 2015 IEEE Conference on, pp. 719–720, 2015.
Bibtex
@inproceedings{zorgui2015secret,
title={Secret-key agreement over spatially correlated multiple-antenna channels in the low-SNR regime},
author={Zorgui, Marwen and Rezki, Zouheir and Alomair, Basel and Jorswieck, Eduard A and Alouini, Mohamed-Slim},
booktitle={Communications and Network Security (CNS), 2015 IEEE Conference on},
pages={719--720},
year={2015},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Probabilistic Query Answering in the Bayesian Description
Logic $\mathcal{BE\!L}$", Springer Science + Business Media, vol. 9310, pp. 21-35, 2015. [doi] [Bibtex & Downloads]
Probabilistic Query Answering in the Bayesian Description Logic $\mathcal{BE\!L}$
Reference
\.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Probabilistic Query Answering in the Bayesian Description Logic $\mathcal{BE\!L}$", Springer Science + Business Media, vol. 9310, pp. 21-35, 2015. [doi]
Bibtex
@inbook{ceylan15_probab_query_answer_bayes_descr_logic_bel,
DATE_ADDED = {Thu Sep 29 08:43:43 2016},
author = {{\.I}smail {\.I}lkan Ceylan and Rafael Pe{\~n}aloza},
booktitle = {Lecture Notes in Computer Science},
doi = {10.1007/978-3-319-23540-0_2},
pages = {21-35},
publisher = {Springer Science + Business Media},
series = {Lecture Notes in Computer Science},
volume = {9310},
title = {Probabilistic Query Answering in the Bayesian Description
Logic $\mathcal{BE\!L}$},
url = {http://dx.doi.org/10.1007/978-3-319-23540-0_2},
year = {2015},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Shasha Feng, Michel Ludwig, Dirk Walther, "Deciding Subsumers of Least Fixpoint Concepts w.r.t. general
$\mathcal{EL}$-TBoxes", Springer Science + Business Media, vol. 9324, pp. 59-71, 2015. [doi] [Bibtex & Downloads]
Deciding Subsumers of Least Fixpoint Concepts w.r.t. general $\mathcal{EL}$-TBoxes
Reference
Shasha Feng, Michel Ludwig, Dirk Walther, "Deciding Subsumers of Least Fixpoint Concepts w.r.t. general $\mathcal{EL}$-TBoxes", Springer Science + Business Media, vol. 9324, pp. 59-71, 2015. [doi]
Bibtex
@inbook{feng15_decid_subsum_least_fixpoin_concep,
DATE_ADDED = {Thu Sep 29 08:46:46 2016},
author = {Shasha Feng and Michel Ludwig and Dirk Walther},
booktitle = {KI 2015: Advances in Artificial Intelligence},
doi = {10.1007/978-3-319-24489-1_5},
pages = {59-71},
publisher = {Springer Science + Business Media},
series = {Lecture Notes in Computer Science},
volume = {9324},
title = {Deciding Subsumers of Least Fixpoint Concepts w.r.t. general
$\mathcal{EL}$-TBoxes},
url = {http://dx.doi.org/10.1007/978-3-319-24489-1_5},
year = {2015},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Shasha Feng, Michel Ludwig, Dirk Walther, "Foundations for the Logical Difference of EL-TBoxes", In Proceeding: GCAI 2015. Global Conference on Artificial Intelligence (Georg Gottlob and Geoff Sutcliffe and Andrei Voronkov), EasyChair, vol. 36, pp. 93–112, 2015. [Bibtex & Downloads]
Foundations for the Logical Difference of EL-TBoxes
Reference
Shasha Feng, Michel Ludwig, Dirk Walther, "Foundations for the Logical Difference of EL-TBoxes", In Proceeding: GCAI 2015. Global Conference on Artificial Intelligence (Georg Gottlob and Geoff Sutcliffe and Andrei Voronkov), EasyChair, vol. 36, pp. 93–112, 2015.
Bibtex
@inproceedings{feng15_found_logic_differ_el_tboxes,
author = {Shasha {Feng} and Michel {Ludwig} and Dirk {Walther}},
title = {Foundations for the Logical Difference of EL-TBoxes},
booktitle = {GCAI 2015. Global Conference on Artificial Intelligence},
year = 2015,
volume = 36,
pages = {93--112},
editor = {Georg {Gottlob} and Geoff {Sutcliffe} and Andrei {Voronkov}},
publisher = {EasyChair},
series = {EPiC Series in Computing},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Tomás Babiak, Frantisek Blahoudek, Alexandre Duret-Lutz, Joachim Klein, Jan Kretínský, David Müller, David Parker, Jan Strejcek, "The Hanoi Omega-Automata Format", In Proceeding: Proc. of the 27th Conference on Computer Aided Verification, Part I (CAV), Springer, vol. 9206, pp. 479–486, 2015. [Bibtex & Downloads]
The Hanoi Omega-Automata Format
Reference
Tomás Babiak, Frantisek Blahoudek, Alexandre Duret-Lutz, Joachim Klein, Jan Kretínský, David Müller, David Parker, Jan Strejcek, "The Hanoi Omega-Automata Format", In Proceeding: Proc. of the 27th Conference on Computer Aided Verification, Part I (CAV), Springer, vol. 9206, pp. 479–486, 2015.
Bibtex
@inproceedings{BBDKKMPS15,
author = {Tom{\'{a}}s Babiak, Frantisek Blahoudek, Alexandre Duret-Lutz, Joachim Klein, Jan Kret{\'{\i}}nsk{\'{y}}, David M{\"u}ller, David Parker, Jan Strejcek},
title = {The {H}anoi Omega-Automata Format},
booktitle = {Proc. of the 27th Conference on Computer Aided Verification, Part I (CAV)},
year = {2015},
pages = {479--486},
series = {Lecture Notes in Computer Science},
volume = {9206},
publisher = {Springer},
ee = {http://dx.doi.org/10.1007/978-3-319-21690-4_31}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Stefanie Roos, Thorsten Strufe, "On the Impossibility of Efficient Self-Stabilization in Virtual Overlays with Churn", In Proceeding: IEEE INFOCOM, 2015. [Bibtex & Downloads]
On the Impossibility of Efficient Self-Stabilization in Virtual Overlays with Churn
Reference
Stefanie Roos, Thorsten Strufe, "On the Impossibility of Efficient Self-Stabilization in Virtual Overlays with Churn", In Proceeding: IEEE INFOCOM, 2015.
Bibtex
@inproceedings{roos15impossibility,
title = {On the Impossibility of Efficient Self-Stabilization in Virtual Overlays with Churn},
author = {Stefanie Roos and Thorsten Strufe},
booktitle = {IEEE INFOCOM},
year = 2015
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefanie Roos, Giang Truong Nguyen, Thorsten Strufe, "Integrating Churn into the Formal Analysis of Routing Algorithms", In Proceeding: GI/IEEE NetSys, 2015. [Bibtex & Downloads]
Integrating Churn into the Formal Analysis of Routing Algorithms
Reference
Stefanie Roos, Giang Truong Nguyen, Thorsten Strufe, "Integrating Churn into the Formal Analysis of Routing Algorithms", In Proceeding: GI/IEEE NetSys, 2015.
Bibtex
@inproceedings{roos15integrating,
title = {Integrating Churn into the Formal Analysis of Routing Algorithms},
author = {Stefanie Roos and Giang Truong Nguyen and Thorsten Strufe},
booktitle = {GI/IEEE NetSys},
year = 2015
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Sadia Moriam, Yexin Yan, Erik Fischer, Elke Franz, Gerhard P. Fettweis, "Resilient and Efficient Communication in Many-Core Systems using Network Coding", In Proceeding: 34th IEEE International Performance Computing and Communications Conference (IPCCC), 2015. [Bibtex & Downloads]
Resilient and Efficient Communication in Many-Core Systems using Network Coding
Reference
Sadia Moriam, Yexin Yan, Erik Fischer, Elke Franz, Gerhard P. Fettweis, "Resilient and Efficient Communication in Many-Core Systems using Network Coding", In Proceeding: 34th IEEE International Performance Computing and Communications Conference (IPCCC), 2015.
Bibtex
@INPROCEEDINGS{MYFF+_15,
author = {Sadia Moriam and Yexin Yan and Erik Fischer and Elke Franz and Gerhard P. Fettweis},
title = {Resilient and Efficient Communication in Many-Core Systems using Network Coding},
booktitle = {34th IEEE International Performance Computing and Communications Conference (IPCCC)},
year = {2015},
owner = {ef1},
timestamp = {2016.11.15}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Haenzsche, Sebastian Höppner, Rene Schüffny, "A 10 bit 16-to-26 MS/s flexible window SAR ADC for digitally controlled DC–DC converters in 28 nm CMOS", In Analog Integrated Circuits and Signal Processing, Springer, vol. 84, no. 3, pp. 421–431, 2015. [Bibtex & Downloads]
A 10 bit 16-to-26 MS/s flexible window SAR ADC for digitally controlled DC–DC converters in 28 nm CMOS
Reference
Stefan Haenzsche, Sebastian Höppner, Rene Schüffny, "A 10 bit 16-to-26 MS/s flexible window SAR ADC for digitally controlled DC–DC converters in 28 nm CMOS", In Analog Integrated Circuits and Signal Processing, Springer, vol. 84, no. 3, pp. 421–431, 2015.
Bibtex
@article{haenzsche201510,
title={A 10 bit 16-to-26 MS/s flexible window SAR ADC for digitally controlled DC--DC converters in 28 nm CMOS},
author={Haenzsche, Stefan and H{\"o}ppner, Sebastian and Sch{\"u}ffny, Rene},
journal={Analog Integrated Circuits and Signal Processing},
volume={84},
number={3},
pages={421--431},
year={2015},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Till Kolditz, Dirk Habich, Patrick Damme, Wolfgang Lehner, Dmitrii Kuvaiskii, Oleksii Oleksenko, Christof Fetzer, "Resiliency-aware Data Compression for In-memory Database Systems.", In Proceeding: DATA, pp. 326–331, 2015. [Bibtex & Downloads]
Resiliency-aware Data Compression for In-memory Database Systems.
Reference
Till Kolditz, Dirk Habich, Patrick Damme, Wolfgang Lehner, Dmitrii Kuvaiskii, Oleksii Oleksenko, Christof Fetzer, "Resiliency-aware Data Compression for In-memory Database Systems.", In Proceeding: DATA, pp. 326–331, 2015.
Bibtex
@inproceedings{kolditz2015resiliency,
title={Resiliency-aware Data Compression for In-memory Database Systems.},
author={Kolditz, Till and Habich, Dirk and Damme, Patrick and Lehner, Wolfgang and Kuvaiskii, Dmitrii and Oleksenko, Oleksii and Fetzer, Christof},
booktitle={DATA},
pages={326--331},
year={2015}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Till Kolditz, Dirk Habich, Dmitrii Kuvaiskii, Wolfgang Lehner, Christof Fetzer, "Needles in the Haystack—Tackling Bit Flips in Lightweight Compressed Data", In Proceeding: International Conference on Data Management Technologies and Applications, pp. 135–153, 2015. [Bibtex & Downloads]
Needles in the Haystack—Tackling Bit Flips in Lightweight Compressed Data
Reference
Till Kolditz, Dirk Habich, Dmitrii Kuvaiskii, Wolfgang Lehner, Christof Fetzer, "Needles in the Haystack—Tackling Bit Flips in Lightweight Compressed Data", In Proceeding: International Conference on Data Management Technologies and Applications, pp. 135–153, 2015.
Bibtex
@inproceedings{kolditz2015needles,
title={Needles in the Haystack—Tackling Bit Flips in Lightweight Compressed Data},
author={Kolditz, Till and Habich, Dirk and Kuvaiskii, Dmitrii and Lehner, Wolfgang and Fetzer, Christof},
booktitle={International Conference on Data Management Technologies and Applications},
pages={135--153},
year={2015},
organization={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dmitrii Kuvaiskii, Christof Fetzer, "$\Delta$-encoding: practical encoded processing", In Proceeding: Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on, pp. 13–24, 2015. [Bibtex & Downloads]
$\Delta$-encoding: practical encoded processing
Reference
Dmitrii Kuvaiskii, Christof Fetzer, "$\Delta$-encoding: practical encoded processing", In Proceeding: Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on, pp. 13–24, 2015.
Bibtex
@inproceedings{kuvaiskii2015delta,
title={$\Delta$-encoding: practical encoded processing},
author={Kuvaiskii, Dmitrii and Fetzer, Christof},
booktitle={Dependable Systems and Networks (DSN), 2015 45th Annual IEEE/IFIP International Conference on},
pages={13--24},
year={2015},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
2014
- Andreas Ecke, Rafael Peñaloza, Anni-Yasmin Turhan, "Completion-based generalization inferences for the Description Logic ELOR with subjective probabilities", In International Journal of Approximate Reasoning, Elsevier, vol. 55, no. 9, pp. 1939–1970, Dec 2014. [doi] [Bibtex & Downloads]
Completion-based generalization inferences for the Description Logic ELOR with subjective probabilities
Reference
Andreas Ecke, Rafael Peñaloza, Anni-Yasmin Turhan, "Completion-based generalization inferences for the Description Logic ELOR with subjective probabilities", In International Journal of Approximate Reasoning, Elsevier, vol. 55, no. 9, pp. 1939–1970, Dec 2014. [doi]
Bibtex
@article{ecke2014completion,
author={Ecke, Andreas and Peñaloza, Rafael and Turhan, Anni-Yasmin},
doi={10.1016/j.ijar.2014.03.001},
journal={International Journal of Approximate Reasoning},
month={dec},
number={9},
pages={1939–1970},
publisher={Elsevier},
title={Completion-based generalization inferences for the Description Logic ELOR with subjective probabilities},
url={https://doi.org/10.1016%2Fj.ijar.2014.03.001},
volume={55},
year={2014},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- S. Tomasin, E. Jorswieck, "Pilot-based secret key agreement for reciprocal correlated MIM #x00D3;ME block fading channels", In Proceeding: 2014 IEEE Globecom Workshops (GC Wkshps), pp. 1343-1348, Dec 2014. [doi] [Bibtex & Downloads]
Pilot-based secret key agreement for reciprocal correlated MIM #x00D3;ME block fading channels
Reference
S. Tomasin, E. Jorswieck, "Pilot-based secret key agreement for reciprocal correlated MIM #x00D3;ME block fading channels", In Proceeding: 2014 IEEE Globecom Workshops (GC Wkshps), pp. 1343-1348, Dec 2014. [doi]
Bibtex
@inproceedings{7063620,
Author = {S. Tomasin and E. Jorswieck},
Booktitle = {2014 IEEE Globecom Workshops (GC Wkshps)},
Date-Added = {2016-11-07 06:26:48 +0000},
Date-Modified = {2016-11-07 06:26:48 +0000},
Doi = {10.1109/GLOCOMW.2014.7063620},
Issn = {2166-0077},
Keywords = {3G mobile communication;MIMO communication;Rayleigh channels;broadcast antennas;cellular radio;optimisation;sequences;telecommunication security;Kronecker model;SKA;antenna couples;cellular 3GPP MIMO channel model;looser bound;lower bounds;multiple input multiple output;passive eavesdropper;pilot sequences;pilot-based secret key agreement;reciprocal correlated MIMOME block flat Rayleigh fading channel;reduced dimensionality problem;spatial channel correlation;training sequence optimization;upper bounds;wireless communication;wiretapper;Antennas;Correlation;Fading;MIMO;Optimization;Security;Training;Multiple Input Multiple Output (MIMO);Physical Layer Security;Rayleigh fading;Secret Key Agreement},
Month = {Dec},
Pages = {1343-1348},
Title = {Pilot-based secret key agreement for reciprocal correlated MIM #x00D3;ME block fading channels},
Year = {2014},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/GLOCOMW.2014.7063620}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, Stefan Borgwardt, Rafael Peñaloza, "On the Decidability Status of Fuzzy A $\mscrL$ C \textdollar\mathcal $\lbrace$A$\rbrace$\mathcal $\lbrace$L$\rbrace$\mathcal $\lbrace$C$\rbrace$\textdollar with General Concept Inclusions" , In Journal of Philosophical Logic, Springer Nature, vol. 44, no. 2, pp. 117–146, Oct 2014. [doi] [Bibtex & Downloads]
On the Decidability Status of Fuzzy A $\mscrL$ C \textdollar\mathcal $\lbrace$A$\rbrace$\mathcal $\lbrace$L$\rbrace$\mathcal $\lbrace$C$\rbrace$\textdollar with General Concept Inclusions
Reference
Franz Baader, Stefan Borgwardt, Rafael Peñaloza, "On the Decidability Status of Fuzzy A $\mscrL$ C \textdollar\mathcal $\lbrace$A$\rbrace$\mathcal $\lbrace$L$\rbrace$\mathcal $\lbrace$C$\rbrace$\textdollar with General Concept Inclusions" , In Journal of Philosophical Logic, Springer Nature, vol. 44, no. 2, pp. 117–146, Oct 2014. [doi]
Bibtex
@article{Baader_2014,
doi = {10.1007/s10992-014-9329-3},
url = {https://doi.org/10.1007%2Fs10992-014-9329-3},
year = 2014,
month = {oct},
publisher = {Springer Nature},
volume = {44},
number = {2},
pages = {117--146},
author = {Franz Baader and Stefan Borgwardt and Rafael Pe{\~{n}}aloza},
title = {On the Decidability Status of Fuzzy A $\{mscrL}$ C {\textdollar}{\textbackslash}mathcal $\lbrace$A$\rbrace${\textbackslash}mathcal $\lbrace$L$\rbrace${\textbackslash}mathcal $\lbrace$C$\rbrace${\textdollar} with General Concept Inclusions},
journal = {Journal of Philosophical Logic}
}
Downloads
No Downloads available for this publication
Related Paths
Permalink
- Michel Ludwig, "Just: a Tool for Computing Justifications w.r.t. EL
Ontologies", Informal Proceedings of the 3rd International Workshop on OWL
Reasoner Evaluation (ORE 2014) (Samantha Bail and Birte Glimm and Ernesto Jiménez-Ruiz and
Nicolas Matentzoglu and Bijan Parsia and Andreas Steigmiller), vol. 1207, pp. 1-7, July 2014. [Bibtex & Downloads]
Just: a Tool for Computing Justifications w.r.t. EL Ontologies
Reference
Michel Ludwig, "Just: a Tool for Computing Justifications w.r.t. EL Ontologies", Informal Proceedings of the 3rd International Workshop on OWL Reasoner Evaluation (ORE 2014) (Samantha Bail and Birte Glimm and Ernesto Jiménez-Ruiz and Nicolas Matentzoglu and Bijan Parsia and Andreas Steigmiller), vol. 1207, pp. 1-7, July 2014.
Bibtex
@inproceedings{ludwig14_just,
author = {Michel Ludwig},
title = {Just: a Tool for Computing Justifications w.r.t. EL
Ontologies},
booktitle = {Informal Proceedings of the 3rd International Workshop on OWL
Reasoner Evaluation (ORE 2014)},
year = 2014,
volume = 1207,
pages = {1-7},
editor = {Samantha Bail and Birte Glimm and Ernesto Jim{\'e}nez-Ruiz and
Nicolas Matentzoglu and Bijan Parsia and Andreas Steigmiller},
month = {July},
series = {CEUR Workshop Proceedings},
venue = {Vienna, Austria},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Probabilistic Software Product Line Model Checking", In Presentation, April 2014. [Bibtex & Downloads]
Probabilistic Software Product Line Model Checking
Reference
Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Probabilistic Software Product Line Model Checking", In Presentation, April 2014.
Bibtex
@misc{DKB14,
Author={Clemens Dubslaff and Sascha Kl{\"{u}}ppelholz and Christel Baier},
Title={Probabilistic Software Product Line Model Checking},
Booktitle={12th Workshop on Quantitative Aspects of Programming Languages and Systems (QAPL)},
Howpublished={Presentation},
Month={April},
Year={2014}}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Andreas Ecke, Raphael Penaloza, Anni-Yasmin Turhan, "Answering instance queries relaxed by concept similarity", Proceedings of the Fourteenth International Conference on Principles of Knowledge Representation and Reasoning (KR’14), Vienna, Austria, AAAI Press, 2014. [Bibtex & Downloads]
Answering instance queries relaxed by concept similarity
Reference
Andreas Ecke, Raphael Penaloza, Anni-Yasmin Turhan, "Answering instance queries relaxed by concept similarity", Proceedings of the Fourteenth International Conference on Principles of Knowledge Representation and Reasoning (KR’14), Vienna, Austria, AAAI Press, 2014.
Bibtex
@inproceedings{ecke2014answering,
title={Answering instance queries relaxed by concept similarity},
author={Ecke, Andreas and Penaloza, Raphael and Turhan, Anni{-}Yasmin},
booktitle={Proceedings of the Fourteenth International Conference on Principles of Knowledge Representation and Reasoning (KR’14), Vienna, Austria, AAAI Press},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Andreas Ecke, Rafael Penaloza, Anni-Yasmin Turhan, "Mary, What’s Like All Cats?", 2014. [Bibtex & Downloads]
Mary, What’s Like All Cats?
Reference
Andreas Ecke, Rafael Penaloza, Anni-Yasmin Turhan, "Mary, What’s Like All Cats?", 2014.
Bibtex
@article{eckemary,
title={Mary, What’s Like All Cats?},
author={Ecke, Andreas and Penaloza, Rafael and Turhan, Anni-Yasmin},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, "Trade-off analysis meets probabilistic model checking", Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), pp. 1, 2014. [doi] [Bibtex & Downloads]
Trade-off analysis meets probabilistic model checking
Reference
Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, "Trade-off analysis meets probabilistic model checking", Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), pp. 1, 2014. [doi]
Bibtex
@inproceedings{baier2014trade,
title={Trade-off analysis meets probabilistic model checking},
author={Baier, Christel and Dubslaff, Clemens and Kl{\"u}ppelholz, Sascha},
booktitle={Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS)},
pages={1},
year={2014},
organization={ACM},
doi={10.1145/2603088.2603089}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Clemens Dubslaff, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Probabilistic model checking for energy-utility analysis", Chapter in Horizons of the Mind. A Tribute to Prakash Panangaden, Springer, pp. 96–123, 2014. [doi] [Bibtex & Downloads]
Probabilistic model checking for energy-utility analysis
Reference
Christel Baier, Clemens Dubslaff, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Probabilistic model checking for energy-utility analysis", Chapter in Horizons of the Mind. A Tribute to Prakash Panangaden, Springer, pp. 96–123, 2014. [doi]
Bibtex
@incollection{baier2014probabilistic,
title={Probabilistic model checking for energy-utility analysis},
author={Baier, Christel and Dubslaff, Clemens and Klein, Joachim and Kl{\"u}ppelholz, Sascha and Wunderlich, Sascha},
booktitle={Horizons of the Mind. A Tribute to Prakash Panangaden},
pages={96--123},
year={2014},
publisher={Springer},
doi={10.1007/978-3-319-06880-0_5}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, Linda Leuschner, "Energy-utility analysis for resilient systems using probabilistic model checking", Chapter in Application and Theory of Petri Nets and Concurrency, Springer, pp. 20–39, 2014. [doi] [Bibtex & Downloads]
Energy-utility analysis for resilient systems using probabilistic model checking
Reference
Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, Linda Leuschner, "Energy-utility analysis for resilient systems using probabilistic model checking", Chapter in Application and Theory of Petri Nets and Concurrency, Springer, pp. 20–39, 2014. [doi]
Bibtex
@incollection{baier2014energy,
title={Energy-utility analysis for resilient systems using probabilistic model checking},
author={Baier, Christel and Dubslaff, Clemens and Kl{\"u}ppelholz, Sascha and Leuschner, Linda},
booktitle={Application and Theory of Petri Nets and Concurrency},
pages={20--39},
year={2014},
publisher={Springer},
doi={10.1007/978-3-319-07734-5_2}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, Marcus Daum, Joachim Klein, Steffen Märcker, Sascha Wunderlich, "Probabilistic Model Checking and Non-standard Multi-objective Reasoning", Chapter in Fundamental Approaches to Software Engineering, Springer, pp. 1–16, 2014. [doi] [Bibtex & Downloads]
Probabilistic Model Checking and Non-standard Multi-objective Reasoning
Reference
Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, Marcus Daum, Joachim Klein, Steffen Märcker, Sascha Wunderlich, "Probabilistic Model Checking and Non-standard Multi-objective Reasoning", Chapter in Fundamental Approaches to Software Engineering, Springer, pp. 1–16, 2014. [doi]
Bibtex
@incollection{baier2014probabilistic,
title={Probabilistic Model Checking and Non-standard Multi-objective Reasoning},
author={Baier, Christel and Dubslaff, Clemens and Kl{\"u}ppelholz, Sascha and Daum, Marcus and Klein, Joachim and M{\"a}rcker, Steffen and Wunderlich, Sascha},
booktitle={Fundamental Approaches to Software Engineering},
pages={1--16},
year={2014},
publisher={Springer},
doi={10.1007/978-3-642-54804-8_1}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Joachim Klein, Sascha Klüppelholz, "Synthesis of Reo Connectors for Strategies and Controllers", In Fundamenta Informaticae, IOS Press, vol. 130, no. 1, pp. 1–20, 2014. [doi] [Bibtex & Downloads]
Synthesis of Reo Connectors for Strategies and Controllers
Reference
Christel Baier, Joachim Klein, Sascha Klüppelholz, "Synthesis of Reo Connectors for Strategies and Controllers", In Fundamenta Informaticae, IOS Press, vol. 130, no. 1, pp. 1–20, 2014. [doi]
Bibtex
@article{baier2014synthesis,
title={Synthesis of Reo Connectors for Strategies and Controllers},
author={Baier, Christel and Klein, Joachim and Kl{\"u}ppelholz, Sascha},
journal={Fundamenta Informaticae},
volume={130},
number={1},
pages={1--20},
year={2014},
publisher={IOS Press},
doi={10.3233/FI-2014-980}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Weight monitoring with linear temporal logic: Complexity and decidability", Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), pp. 11, 2014. [doi] [Bibtex & Downloads]
Weight monitoring with linear temporal logic: Complexity and decidability
Reference
Christel Baier, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Weight monitoring with linear temporal logic: Complexity and decidability", Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), pp. 11, 2014. [doi]
Bibtex
@inproceedings{baier2014weight,
title={Weight monitoring with linear temporal logic: Complexity and decidability},
author={Baier, Christel and Klein, Joachim and Kl{\"u}ppelholz, Sascha and Wunderlich, Sascha},
booktitle={Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS)},
pages={11},
year={2014},
organization={ACM},
doi={10.1145/2603088.2603162}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, "Computing conditional probabilities in Markovian models efficiently", Chapter in Tools and Algorithms for the Construction and Analysis of Systems, Springer, pp. 515–530, 2014. [doi] [Bibtex & Downloads]
Computing conditional probabilities in Markovian models efficiently
Reference
Christel Baier, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, "Computing conditional probabilities in Markovian models efficiently", Chapter in Tools and Algorithms for the Construction and Analysis of Systems, Springer, pp. 515–530, 2014. [doi]
Bibtex
@incollection{baier2014computing,
title={Computing conditional probabilities in Markovian models efficiently},
author={Baier, Christel and Klein, Joachim and Kl{\"u}ppelholz, Sascha and M{\"a}rcker, Steffen},
booktitle={Tools and Algorithms for the Construction and Analysis of Systems},
pages={515--530},
year={2014},
publisher={Springer},
doi={10.1007/978-3-642-54862-8_43}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Marcus Daum, Clemens Dubslaff, Joachim Klein, Sascha Klüppelholz, "Energy-utility quantiles", Chapter in NASA Formal Methods, Springer, pp. 285–299, 2014. [doi] [Bibtex & Downloads]
Energy-utility quantiles
Reference
Christel Baier, Marcus Daum, Clemens Dubslaff, Joachim Klein, Sascha Klüppelholz, "Energy-utility quantiles", Chapter in NASA Formal Methods, Springer, pp. 285–299, 2014. [doi]
Bibtex
@incollection{baier2014energy,
title={Energy-utility quantiles},
author={Baier, Christel and Daum, Marcus and Dubslaff, Clemens and Klein, Joachim and Kl{\"u}ppelholz, Sascha},
booktitle={NASA Formal Methods},
pages={285--299},
year={2014},
publisher={Springer},
doi={10.1007/978-3-319-06200-6_24}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Chiara Del Vescovo, Rafael Penaloza, "DeaLing with Ontologies using CODs", 2014. [Bibtex & Downloads]
DeaLing with Ontologies using CODs
Reference
Chiara Del Vescovo, Rafael Penaloza, "DeaLing with Ontologies using CODs", 2014.
Bibtex
@article{deldealing,
title={DeaLing with Ontologies using CODs},
author={Del Vescovo, Chiara and Penaloza, Rafael},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Probabilistic Model Checking for Energy Analysis in Software Product Lines", In Proceeding: Proc. of the 13th International Conference on Modularity (MODULARITY), ACM, pp. 169–180, 2014. [Bibtex & Downloads]
Probabilistic Model Checking for Energy Analysis in Software Product Lines
Reference
Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Probabilistic Model Checking for Energy Analysis in Software Product Lines", In Proceeding: Proc. of the 13th International Conference on Modularity (MODULARITY), ACM, pp. 169–180, 2014.
Bibtex
@inproceedings{DKB14_MODULARITY,
author={Clemens Dubslaff and Sascha Kl{\"u}ppelholz and Christel Baier},
title={Probabilistic Model Checking for Energy Analysis in Software Product Lines},
booktitle={Proc. of the 13th International Conference on Modularity (MODULARITY)},
year={2014},
pages={169--180},
publisher={ACM},
ee={http://doi.acm.org/10.1145/2577080.2577095},
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christian Piechnick, Sebastian Richly, Thomas Kühn, Sebastian Götz, Georg Püschel, Uwe Aßmann, "ContextPoint: An Architecture for Extrinsic Meta-Adaptation in Smart Environments", In Proceeding: ADAPTIVE 2014, The Sixth International Conference on Adaptive and Self-Adaptive Systems and Applications, pp. 121–128, 2014. [Bibtex & Downloads]
ContextPoint: An Architecture for Extrinsic Meta-Adaptation in Smart Environments
Reference
Christian Piechnick, Sebastian Richly, Thomas Kühn, Sebastian Götz, Georg Püschel, Uwe Aßmann, "ContextPoint: An Architecture for Extrinsic Meta-Adaptation in Smart Environments", In Proceeding: ADAPTIVE 2014, The Sixth International Conference on Adaptive and Self-Adaptive Systems and Applications, pp. 121–128, 2014.
Bibtex
@inproceedings{piechnick2014contextpoint,
title={ContextPoint: An Architecture for Extrinsic Meta-Adaptation in Smart Environments},
author={Piechnick, Christian and Richly, Sebastian and K{\"u}hn, Thomas and G{\"o}tz, Sebastian and P{\"u}schel, Georg and A{\ss}mann, Uwe},
booktitle={ADAPTIVE 2014, The Sixth International Conference on Adaptive and Self-Adaptive Systems and Applications},
pages={121--128},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Carsten Rudolf Janda, Christian Scheunert, Eduard A Jorswieck, "Wiretap-Channels with Constrained Active Attacks", 2014. [doi] [Bibtex & Downloads]
Wiretap-Channels with Constrained Active Attacks
Reference
Carsten Rudolf Janda, Christian Scheunert, Eduard A Jorswieck, "Wiretap-Channels with Constrained Active Attacks", 2014. [doi]
Bibtex
@article{jandawiretap,
title={Wiretap-Channels with Constrained Active Attacks},
author={Janda, Carsten Rudolf and Scheunert, Christian and Jorswieck, Eduard A},
year={2014},
doi={10.1109/ACSSC.2014.7094818}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Diogo Behrens, Dmitrii Kuvaiskii, Christof Fetzer, "HardPaxos: Replication Hardened Against Hardware Errors", In Technische Universität Dresden, Tech. Rep, 2014. [doi] [Bibtex & Downloads]
HardPaxos: Replication Hardened Against Hardware Errors
Reference
Diogo Behrens, Dmitrii Kuvaiskii, Christof Fetzer, "HardPaxos: Replication Hardened Against Hardware Errors", In Technische Universität Dresden, Tech. Rep, 2014. [doi]
Bibtex
@article{behrens2014hardpaxos,
title={HardPaxos: Replication Hardened Against Hardware Errors},
author={Behrens, Diogo and Kuvaiskii, Dmitrii and Fetzer, Christof},
journal={Technische Universit{\"a}t Dresden, Tech. Rep},
year={2014},
doi={10.1109/SRDS.2014.13}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- DANIEL BORCHMANN, RAFAEL PEÑALOZA, WENQIAN WANG, "CLASSIFYING SOFTWARE BUG REPORTS USING METHODS FROM FORMAL CONCEPT ANALYSIS.", In Studia Universitatis Babes-Bolyai, Informatica, vol. 59, 2014. [Bibtex & Downloads]
CLASSIFYING SOFTWARE BUG REPORTS USING METHODS FROM FORMAL CONCEPT ANALYSIS.
Reference
DANIEL BORCHMANN, RAFAEL PEÑALOZA, WENQIAN WANG, "CLASSIFYING SOFTWARE BUG REPORTS USING METHODS FROM FORMAL CONCEPT ANALYSIS.", In Studia Universitatis Babes-Bolyai, Informatica, vol. 59, 2014.
Bibtex
@article{borchmann2014classifying,
title={CLASSIFYING SOFTWARE BUG REPORTS USING METHODS FROM FORMAL CONCEPT ANALYSIS.},
author={BORCHMANN, DANIEL and PE{\~N}ALOZA, RAFAEL and WANG, WENQIAN},
journal={Studia Universitatis Babes-Bolyai, Informatica},
volume={59},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dmitrii Kuvaiskii, Christof Fetzer, "Practical Encoded Processing", In Proceeding: Reliable Distributed Systems (SRDS), 2014 IEEE 33rd International Symposium on, pp. 335–336, 2014. [doi] [Bibtex & Downloads]
Practical Encoded Processing
Reference
Dmitrii Kuvaiskii, Christof Fetzer, "Practical Encoded Processing", In Proceeding: Reliable Distributed Systems (SRDS), 2014 IEEE 33rd International Symposium on, pp. 335–336, 2014. [doi]
Bibtex
@inproceedings{kuvaiskii2014practical,
title={Practical Encoded Processing},
author={Kuvaiskii, Dmitrii and Fetzer, Christof},
booktitle={Reliable Distributed Systems (SRDS), 2014 IEEE 33rd International Symposium on},
pages={335--336},
year={2014},
organization={IEEE},
doi={10.1109/SRDS.2014.62}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, Marcel Lippmann, "Runtime verification using the temporal description logic ALC-LTL revisited", In Journal of Applied Logic, Elsevier, vol. 12, no. 4, pp. 584–613, 2014. [doi] [Bibtex & Downloads]
Runtime verification using the temporal description logic ALC-LTL revisited
Reference
Franz Baader, Marcel Lippmann, "Runtime verification using the temporal description logic ALC-LTL revisited", In Journal of Applied Logic, Elsevier, vol. 12, no. 4, pp. 584–613, 2014. [doi]
Bibtex
@article{baader2014runtime,
title={Runtime verification using the temporal description logic ALC-LTL revisited},
author={Baader, Franz and Lippmann, Marcel},
journal={Journal of Applied Logic},
volume={12},
number={4},
pages={584--613},
year={2014},
publisher={Elsevier},
doi={10.1016/j.jal.2014.09.001}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, Stefan Borgwardt, Rafael Peñaloza, "On the Decidability Status of Fuzzy A ℒ C with General Concept Inclusions", In Journal of Philosophical Logic, Springer, pp. 1–30, 2014. [doi] [Bibtex & Downloads]
On the Decidability Status of Fuzzy A ℒ C with General Concept Inclusions
Reference
Franz Baader, Stefan Borgwardt, Rafael Peñaloza, "On the Decidability Status of Fuzzy A ℒ C with General Concept Inclusions", In Journal of Philosophical Logic, Springer, pp. 1–30, 2014. [doi]
Bibtex
@article{baader2014decidability,
title={On the Decidability Status of Fuzzy A ℒ C with General Concept Inclusions},
author={Baader, Franz and Borgwardt, Stefan and Pe{\~n}aloza, Rafael},
journal={Journal of Philosophical Logic},
pages={1--30},
year={2014},
publisher={Springer},
doi={10.1007/s10992-014-9329-3}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, "Ontology-Based Monitoring of Dynamic Systems", AAAI Press, 2014. [Bibtex & Downloads]
Ontology-Based Monitoring of Dynamic Systems
Reference
Franz Baader, "Ontology-Based Monitoring of Dynamic Systems", AAAI Press, 2014.
Bibtex
@article{baader2014ontology,
title={Ontology-Based Monitoring of Dynamic Systems},
author={Baader, Franz},
url = {http://www.aaai.org/ocs/index.php/KR/KR14/paper/view/7972},
editor = {Chitta Baral and Giuseppe De Giacomo and Thomas Eiter},
publisher = {{AAAI} Press},
year = {2014},
isbn = {978-1-57735-657-8},
booktitle = {Principles of Knowledge Representation and Reasoning: Proceedings of the Fourteenth International Conference, {KR} 2014, Vienna, Austria, July 20-24, 2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Felix Distel, Jamal Atif, Isabelle Bloch, "Concept Dissimilarity Based on Tree Edit Distances and Morphological Dilations", In Proceeding: ECAI 2014 - 21st European Conference on Artificial Intelligence, 18-22 August 2014, Prague, Czech Republic - Including Prestigious Applications of Intelligent Systems (PAIS 2014) (Torsten Schaub and Gerhard Friedrich and Barry O'Sullivan), IOS Press, vol. 263, pp. 249-254, 2014. [doi] [Bibtex & Downloads]
Concept Dissimilarity Based on Tree Edit Distances and Morphological Dilations
Reference
Felix Distel, Jamal Atif, Isabelle Bloch, "Concept Dissimilarity Based on Tree Edit Distances and Morphological Dilations", In Proceeding: ECAI 2014 - 21st European Conference on Artificial Intelligence, 18-22 August 2014, Prague, Czech Republic - Including Prestigious Applications of Intelligent Systems (PAIS 2014) (Torsten Schaub and Gerhard Friedrich and Barry O'Sullivan), IOS Press, vol. 263, pp. 249-254, 2014. [doi]
Bibtex
@InProceedings{conf/ecai/DistelAB14,
author = {Felix Distel and Jamal Atif and Isabelle Bloch},
title = {Concept Dissimilarity Based on Tree Edit Distances and Morphological Dilations},
booktitle = {{ECAI} 2014 - 21st European Conference on Artificial Intelligence, 18-22 August 2014, Prague, Czech Republic - Including Prestigious Applications of Intelligent Systems {(PAIS} 2014)},
pages = {249-254},
doi = {10.3233/978-1-61499-419-0-249},
url = {http://dx.doi.org/10.3233/978-1-61499-419-0-249},
editor = {Torsten Schaub and Gerhard Friedrich and Barry O'Sullivan},
series = {Frontiers in Artificial Intelligence and Applications},
volume = {263},
publisher = {{IOS} Press},
year = {2014},
isbn = {978-1-61499-418-3},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Frezewd Lemma Tena, Thomas Knauth, Christof Fetzer, "PowerCass: Energy Efficient, Consistent Hashing Based Storage for Micro Clouds Based Infrastructure", In Proceeding: Cloud Computing (CLOUD), 2014 IEEE 7th International Conference on, pp. 48–55, 2014. [doi] [Bibtex & Downloads]
PowerCass: Energy Efficient, Consistent Hashing Based Storage for Micro Clouds Based Infrastructure
Reference
Frezewd Lemma Tena, Thomas Knauth, Christof Fetzer, "PowerCass: Energy Efficient, Consistent Hashing Based Storage for Micro Clouds Based Infrastructure", In Proceeding: Cloud Computing (CLOUD), 2014 IEEE 7th International Conference on, pp. 48–55, 2014. [doi]
Bibtex
@inproceedings{tena2014powercass,
title={PowerCass: Energy Efficient, Consistent Hashing Based Storage for Micro Clouds Based Infrastructure},
author={Tena, Frezewd Lemma and Knauth, Thomas and Fetzer, Christof},
booktitle={Cloud Computing (CLOUD), 2014 IEEE 7th International Conference on},
pages={48--55},
year={2014},
organization={IEEE},
doi={10.1109/CLOUD.2014.17}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Gulay Yalcin, Anita Sobe, Derin Harmanci, Alexey Voronin, Jons-Tobias Wamhoff, Pascal Felber, Osman Unsal, Adrian Cristal, Christof Fetzer, "Combining error detection and transactional memory for energy-efficient computing below safe operation margins", In Proceeding: Parallel, Distributed and Network-Based Processing (PDP), 2014 22nd Euromicro International Conference on, pp. 248–255, 2014. [doi] [Bibtex & Downloads]
Combining error detection and transactional memory for energy-efficient computing below safe operation margins
Reference
Gulay Yalcin, Anita Sobe, Derin Harmanci, Alexey Voronin, Jons-Tobias Wamhoff, Pascal Felber, Osman Unsal, Adrian Cristal, Christof Fetzer, "Combining error detection and transactional memory for energy-efficient computing below safe operation margins", In Proceeding: Parallel, Distributed and Network-Based Processing (PDP), 2014 22nd Euromicro International Conference on, pp. 248–255, 2014. [doi]
Bibtex
@inproceedings{yalcin2014combining,
title={Combining error detection and transactional memory for energy-efficient computing below safe operation margins},
author={Yalcin, Gulay and Sobe, Anita and Harmanci, Derin and Voronin, Alexey and Wamhoff, Jons-Tobias and Felber, Pascal and Unsal, Osman and Cristal, Adrian and Fetzer, Christof},
booktitle={Parallel, Distributed and Network-Based Processing (PDP), 2014 22nd Euromicro International Conference on},
pages={248--255},
year={2014},
organization={IEEE},
doi={10.1109/PDP.2014.61}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Ismail Ilkan Ceylan, Rafael Penaloza, "Bayesian Description Logics", 2014. [Bibtex & Downloads]
Bayesian Description Logics
Reference
Ismail Ilkan Ceylan, Rafael Penaloza, "Bayesian Description Logics", 2014.
Bibtex
@inproceedings{ceylan2014bayesiandesc,
title={Bayesian Description Logics},
author={Ceylan, Ismail Ilkan and Penaloza, Rafael},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Ismail Ilkan Ceylan, Rafael Penaloza, "Reasoning in the Description Logic BEL Using Bayesian Networks", In Proceeding: Workshops at the Twenty-Eighth AAAI Conference on Artificial Intelligence, 2014. [Bibtex & Downloads]
Reasoning in the Description Logic BEL Using Bayesian Networks
Reference
Ismail Ilkan Ceylan, Rafael Penaloza, "Reasoning in the Description Logic BEL Using Bayesian Networks", In Proceeding: Workshops at the Twenty-Eighth AAAI Conference on Artificial Intelligence, 2014.
Bibtex
@inproceedings{ceylan2014reasoning,
title={Reasoning in the Description Logic BEL Using Bayesian Networks},
author={Ceylan, Ismail Ilkan and Penaloza, Rafael},
booktitle={Workshops at the Twenty-Eighth AAAI Conference on Artificial Intelligence},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Penaloza, "The Bayesian Description Logic $\{$$\backslash$mathcal$\{$BEL$}$$}$", Chapter in Automated Reasoning, Springer, pp. 480–494, 2014. [doi] [Bibtex & Downloads]
The Bayesian Description Logic $\{$$\backslash$mathcal$\{$BEL$}$$}$
Reference
\.Ismail \.Ilkan Ceylan, Rafael Penaloza, "The Bayesian Description Logic $\{$$\backslash$mathcal$\{$BEL$}$$}$", Chapter in Automated Reasoning, Springer, pp. 480–494, 2014. [doi]
Bibtex
@incollection{ceylan2014bayesian,
title={The Bayesian Description Logic $\{$$\backslash$mathcal$\{$BEL$\}$$\}$},
author={Ceylan, {\.I}smail {\.I}lkan and Penaloza, Rafael},
booktitle={Automated Reasoning},
pages={480--494},
year={2014},
publisher={Springer},
doi={10.1007/978-3-319-08587-6_37}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Tight Complexity Bounds for Reasoning in the Description Logic$\backslash$ mathcal $\{$BE$\{$$\backslash$ kern-. 1em$}$L$}$", Chapter in Logics in Artificial Intelligence, Springer, pp. 77–91, 2014. [doi] [Bibtex & Downloads]
Tight Complexity Bounds for Reasoning in the Description Logic$\backslash$ mathcal $\{$BE$\{$$\backslash$ kern-. 1em$}$L$}$
Reference
\.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Tight Complexity Bounds for Reasoning in the Description Logic$\backslash$ mathcal $\{$BE$\{$$\backslash$ kern-. 1em$}$L$}$", Chapter in Logics in Artificial Intelligence, Springer, pp. 77–91, 2014. [doi]
Bibtex
@incollection{ceylan2014tight,
title={Tight Complexity Bounds for Reasoning in the Description Logic$\backslash$ mathcal $\{$BE$\{$$\backslash$ kern-. 1em$\}$L$\}$},
author={Ceylan, {\.I}smail {\.I}lkan and Pe{\~n}aloza, Rafael},
booktitle={Logics in Artificial Intelligence},
pages={77--91},
year={2014},
publisher={Springer},
doi={10.1007/978-3-319-11558-0}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Joachim Klein, David Müller, Christel Baier, Sascha Klüppelholz, "Are Good-for-Games Automata Good for Probabilistic Model Checking?", Chapter in Language and Automata Theory and Applications, Springer, pp. 453–465, 2014. [doi] [Bibtex & Downloads]
Are Good-for-Games Automata Good for Probabilistic Model Checking?
Reference
Joachim Klein, David Müller, Christel Baier, Sascha Klüppelholz, "Are Good-for-Games Automata Good for Probabilistic Model Checking?", Chapter in Language and Automata Theory and Applications, Springer, pp. 453–465, 2014. [doi]
Bibtex
@incollection{klein2014good,
title={Are Good-for-Games Automata Good for Probabilistic Model Checking?},
author={Klein, Joachim and M{\"u}ller, David and Baier, Christel and Kl{\"u}ppelholz, Sascha},
booktitle={Language and Automata Theory and Applications},
pages={453--465},
year={2014},
publisher={Springer},
doi={10.1007/978-3-319-04921-2_37}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Johannes Richter, Christian Scheunert, Sabrina Engelmann, Eduard A Jorswieck, "Weak Secrecy in the Multi-Way Untrusted Relay Channel with Compute-and-Forward", In arXiv preprint arXiv:1406.5886, 2014. [doi] [Bibtex & Downloads]
Weak Secrecy in the Multi-Way Untrusted Relay Channel with Compute-and-Forward
Reference
Johannes Richter, Christian Scheunert, Sabrina Engelmann, Eduard A Jorswieck, "Weak Secrecy in the Multi-Way Untrusted Relay Channel with Compute-and-Forward", In arXiv preprint arXiv:1406.5886, 2014. [doi]
Bibtex
@article{richter2014weak,
title={Weak Secrecy in the Multi-Way Untrusted Relay Channel with Compute-and-Forward},
author={Richter, Johannes and Scheunert, Christian and Engelmann, Sabrina and Jorswieck, Eduard A},
journal={arXiv preprint arXiv:1406.5886},
year={2014},
doi={10.1109/TIFS.2015.2405903}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Jons-Tobias Wamhoff, Stephan Diestelhorst, Christof Fetzer, Patrick Marlier, Pascal Felber, Dave Dice, "The TURBO diaries: application-controlled frequency scaling explained", Proceedings of the 2014 USENIX conference on USENIX Annual Technical Conference, pp. 193–204, 2014. [Bibtex & Downloads]
The TURBO diaries: application-controlled frequency scaling explained
Reference
Jons-Tobias Wamhoff, Stephan Diestelhorst, Christof Fetzer, Patrick Marlier, Pascal Felber, Dave Dice, "The TURBO diaries: application-controlled frequency scaling explained", Proceedings of the 2014 USENIX conference on USENIX Annual Technical Conference, pp. 193–204, 2014.
Bibtex
@inproceedings{wamhoff2014turbo,
title={The TURBO diaries: application-controlled frequency scaling explained},
author={Wamhoff, Jons-Tobias and Diestelhorst, Stephan and Fetzer, Christof and Marlier, Patrick and Felber, Pascal and Dice, Dave},
booktitle={Proceedings of the 2014 USENIX conference on USENIX Annual Technical Conference},
pages={193--204},
year={2014},
organization={USENIX Association}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Karsten Lehmann, Rafael Peñaloza, "The complexity of computing the behaviour of lattice automata on infinite trees", In Theoretical Computer Science, Elsevier, vol. 534, pp. 53–68, 2014. [doi] [Bibtex & Downloads]
The complexity of computing the behaviour of lattice automata on infinite trees
Reference
Karsten Lehmann, Rafael Peñaloza, "The complexity of computing the behaviour of lattice automata on infinite trees", In Theoretical Computer Science, Elsevier, vol. 534, pp. 53–68, 2014. [doi]
Bibtex
@article{lehmann2014complexity,
title={The complexity of computing the behaviour of lattice automata on infinite trees},
author={Lehmann, Karsten and Pe{\~n}aloza, Rafael},
journal={Theoretical Computer Science},
volume={534},
pages={53--68},
year={2014},
publisher={Elsevier},
doi={10.1016/j.tcs.2014.02.036}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Marcus Hähnel, Julian Mendez, Veronika Thost, Anni-Yasmin Turhan, "Bridging the application knowledge gap: using ontology-based situation recognition to support energy-aware resource scheduling", Proceedings of the 13th Workshop on Adaptive and Reflective Middleware, pp. 3, 2014. [Bibtex & Downloads]
Bridging the application knowledge gap: using ontology-based situation recognition to support energy-aware resource scheduling
Reference
Marcus Hähnel, Julian Mendez, Veronika Thost, Anni-Yasmin Turhan, "Bridging the application knowledge gap: using ontology-based situation recognition to support energy-aware resource scheduling", Proceedings of the 13th Workshop on Adaptive and Reflective Middleware, pp. 3, 2014.
Bibtex
@inproceedings{hahnel2014bridging,
title={Bridging the application knowledge gap: using ontology-based situation recognition to support energy-aware resource scheduling},
author={H{\"a}hnel, Marcus and Mendez, Julian and Thost, Veronika and Turhan, Anni-Yasmin},
booktitle={Proceedings of the 13th Workshop on Adaptive and Reflective Middleware},
pages={3},
year={2014},
organization={ACM}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Nathalie Bertrand, Patricia Bouyer, Thomas Brihaye, Quentin Menet, Christel Baier, Marcus Größer, Marcin Jurdzinski, "Stochastic Timed Automata", In Logical Methods in Computer Science (LMCS), vol. 10, no. 4, pp. 1–73, 2014. [Bibtex & Downloads]
Stochastic Timed Automata
Reference
Nathalie Bertrand, Patricia Bouyer, Thomas Brihaye, Quentin Menet, Christel Baier, Marcus Größer, Marcin Jurdzinski, "Stochastic Timed Automata", In Logical Methods in Computer Science (LMCS), vol. 10, no. 4, pp. 1–73, 2014.
Bibtex
@article{LMCS14,
author = {Nathalie Bertrand, Patricia Bouyer, Thomas Brihaye, Quentin Menet, Christel Baier, Marcus Gr\"o{\ss}er, Marcin Jurdzinski},
title = {Stochastic Timed Automata},
year = {2014},
journal = {Logical Methods in Computer Science (LMCS)},
volume = {10},
number = {4},
pages = {1--73},
ee = {http://dx.doi.org/10.2168/LMCS-10(4:6)2014}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Peter Okech, Nicholas Mc Guire, Christof Fetzer, "Utilizing Inherent Diversity in Complex Software Systems", 2014. [Bibtex & Downloads]
Utilizing Inherent Diversity in Complex Software Systems
Reference
Peter Okech, Nicholas Mc Guire, Christof Fetzer, "Utilizing Inherent Diversity in Complex Software Systems", 2014.
Bibtex
@article{okech2014utilizing,
title={Utilizing Inherent Diversity in Complex Software Systems},
author={Okech, Peter and Mc Guire, Nicholas and Fetzer, Christof},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Rafael Penaloza, Aparna Saisree Thuluva, "COBRA, a Demo", 2014. [Bibtex & Downloads]
COBRA, a Demo
Reference
Rafael Penaloza, Aparna Saisree Thuluva, "COBRA, a Demo", 2014.
Bibtex
@article{penalozacobra,
title={COBRA, a Demo},
author={Penaloza, Rafael and Thuluva, Aparna Saisree},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Rafael Penaloza, "Automata-based reasoning in Fuzzy Description Logics", In bstracts, pp. 103, 2014. [Bibtex & Downloads]
Automata-based reasoning in Fuzzy Description Logics
Reference
Rafael Penaloza, "Automata-based reasoning in Fuzzy Description Logics", In bstracts, pp. 103, 2014.
Bibtex
@article{penaloza2014automata,
title={Automata-based reasoning in Fuzzy Description Logics},
author={Penaloza, Rafael},
journal={bstracts},
pages={103},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Rafael Peñaloza, "Consistency reasoning in lattice-based fuzzy description logics", In International Journal of Approximate Reasoning, Elsevier, vol. 55, no. 9, pp. 1917–1938, 2014. [doi] [Bibtex & Downloads]
Consistency reasoning in lattice-based fuzzy description logics
Reference
Stefan Borgwardt, Rafael Peñaloza, "Consistency reasoning in lattice-based fuzzy description logics", In International Journal of Approximate Reasoning, Elsevier, vol. 55, no. 9, pp. 1917–1938, 2014. [doi]
Bibtex
@article{borgwardt2014consistency,
title={Consistency reasoning in lattice-based fuzzy description logics},
author={Borgwardt, Stefan and Pe{\~n}aloza, Rafael},
journal={International Journal of Approximate Reasoning},
volume={55},
number={9},
pages={1917--1938},
year={2014},
publisher={Elsevier},
doi={10.1016/j.ijar.2013.07.006}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Rafael Peñaloza, "Finite Lattices Do Not Make Reasoning in ALCOI Harder", Chapter in Uncertainty Reasoning for the Semantic Web III, Springer, pp. 122–141, 2014. [doi] [Bibtex & Downloads]
Finite Lattices Do Not Make Reasoning in ALCOI Harder
Reference
Stefan Borgwardt, Rafael Peñaloza, "Finite Lattices Do Not Make Reasoning in ALCOI Harder", Chapter in Uncertainty Reasoning for the Semantic Web III, Springer, pp. 122–141, 2014. [doi]
Bibtex
@incollection{borgwardt2014finite,
title={Finite Lattices Do Not Make Reasoning in ALCOI Harder},
author={Borgwardt, Stefan and Pe{\~n}aloza, Rafael},
booktitle={Uncertainty Reasoning for the Semantic Web III},
pages={122--141},
year={2014},
publisher={Springer},
doi={10.1007/978-3-319-13413-0_7}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Felix Distel, Rafael Peñaloza, "Decidable Gödel description logics without the finitely-valued model property", Proceedings of the 14th International Conference on Principles of Knowledge Representation and Reasoning (KR'14) (Chitta Baral and Giuseppe DeGiacomo and Thomas Eiter), AAAI Press, pp. 228–237, 2014. [Bibtex & Downloads]
Decidable Gödel description logics without the finitely-valued model property
Reference
Stefan Borgwardt, Felix Distel, Rafael Peñaloza, "Decidable Gödel description logics without the finitely-valued model property", Proceedings of the 14th International Conference on Principles of Knowledge Representation and Reasoning (KR'14) (Chitta Baral and Giuseppe DeGiacomo and Thomas Eiter), AAAI Press, pp. 228–237, 2014.
Bibtex
@inproceedings{BoDP-KR14,
author={Stefan {Borgwardt} and Felix {Distel} and Rafael {Pe{\~n}aloza}},
booktitle={Proceedings of the 14th International Conference on Principles of Knowledge Representation and Reasoning (KR'14)},
editor={Chitta {Baral} and Giuseppe {DeGiacomo} and Thomas {Eiter}},
pages={228--237},
publisher={AAAI Press},
title={Decidable {G}{\"o}del description logics without the finitely-valued model property},
year={2014},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Felix Distel, Rafael Peñaloza, "Gödel description logics with general models", Proceedings of the 27th International Workshop on Description Logics (DL’14), CEUR Workshop Proceedings, 2014. [Bibtex & Downloads]
Gödel description logics with general models
Reference
Stefan Borgwardt, Felix Distel, Rafael Peñaloza, "Gödel description logics with general models", Proceedings of the 27th International Workshop on Description Logics (DL’14), CEUR Workshop Proceedings, 2014.
Bibtex
@inproceedings{borgwardt2014godel,
title={G{\"o}del description logics with general models},
author={Borgwardt, Stefan and Distel, Felix and Pe{\~n}aloza, Rafael},
booktitle={Proceedings of the 27th International Workshop on Description Logics (DL’14), CEUR Workshop Proceedings},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "Gödel PL0 with Greatest Fixed-Point Semantics⋆", In Proceeding: Proc. of the 27th Int. Workshop on Description Logics (DL’14), pp. 83, 2014. [Bibtex & Downloads]
Gödel PL0 with Greatest Fixed-Point Semantics⋆
Reference
Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "Gödel PL0 with Greatest Fixed-Point Semantics⋆", In Proceeding: Proc. of the 27th Int. Workshop on Description Logics (DL’14), pp. 83, 2014.
Bibtex
@inproceedings{borgwardt2014godel,
title={G{\"o}del PL0 with Greatest Fixed-Point Semantics⋆},
author={Borgwardt, Stefan and Galano, Jos{\'e} A Leyva and Pe{\~n}aloza, Rafael},
booktitle={Proc. of the 27th Int. Workshop on Description Logics (DL’14)},
pages={83},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "The Fuzzy Description Logic G-PL0 with Greatest Fixed-Point Semantics⋆", Logics in Artificial Intelligence: 14th European Conference, JELIA 2014, Funchal, Madeira, Portugal, September 24-26, 2014, Proceedings, vol. 8761, pp. 62, 2014. [Bibtex & Downloads]
The Fuzzy Description Logic G-PL0 with Greatest Fixed-Point Semantics⋆
Reference
Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "The Fuzzy Description Logic G-PL0 with Greatest Fixed-Point Semantics⋆", Logics in Artificial Intelligence: 14th European Conference, JELIA 2014, Funchal, Madeira, Portugal, September 24-26, 2014, Proceedings, vol. 8761, pp. 62, 2014.
Bibtex
@inproceedings{borgwardt2014fuzzy,
title={The Fuzzy Description Logic G-PL0 with Greatest Fixed-Point Semantics⋆},
author={Borgwardt, Stefan and Galano, Jos{\'e} A Leyva and Pe{\~n}aloza, Rafael},
booktitle={Logics in Artificial Intelligence: 14th European Conference, JELIA 2014, Funchal, Madeira, Portugal, September 24-26, 2014, Proceedings},
volume={8761},
pages={62},
year={2014},
organization={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Marcel Lippmann, Veronika Thost, "Temporalizing rewritable query languages over knowledge bases", In Web Semantics: Science, Services and Agents on the World Wide Web, Elsevier, 2014. [doi] [Bibtex & Downloads]
Temporalizing rewritable query languages over knowledge bases
Reference
Stefan Borgwardt, Marcel Lippmann, Veronika Thost, "Temporalizing rewritable query languages over knowledge bases", In Web Semantics: Science, Services and Agents on the World Wide Web, Elsevier, 2014. [doi]
Bibtex
@article{borgwardt2014temporalizing,
title={Temporalizing rewritable query languages over knowledge bases},
author={Borgwardt, Stefan and Lippmann, Marcel and Thost, Veronika},
journal={Web Semantics: Science, Services and Agents on the World Wide Web},
year={2014},
publisher={Elsevier},
doi={10.1016/j.websem.2014.11.007}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, "Fuzzy Description Logics with General Concept Inclusions", PhD thesis, Saechsische Landesbibliothek-Staats-und Universitaetsbibliothek Dresden, 2014. [Bibtex & Downloads]
Fuzzy Description Logics with General Concept Inclusions
Reference
Stefan Borgwardt, "Fuzzy Description Logics with General Concept Inclusions", PhD thesis, Saechsische Landesbibliothek-Staats-und Universitaetsbibliothek Dresden, 2014.
Bibtex
@phdthesis{borgwardt2014fuzzy,
title={Fuzzy Description Logics with General Concept Inclusions},
author={Borgwardt, Stefan},
year={2014},
school={Saechsische Landesbibliothek-Staats-und Universitaetsbibliothek Dresden},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Haenzsche, Sebastian Hoppner, Rene Schuffny, "A 10 bit 16 MS/s redundant SAR ADC with flexible window function for a digitally controlled DC-DC converter in 28 nm CMOS", In Proceeding: NORCHIP, 2014, pp. 1–4, 2014. [doi] [Bibtex & Downloads]
A 10 bit 16 MS/s redundant SAR ADC with flexible window function for a digitally controlled DC-DC converter in 28 nm CMOS
Reference
Stefan Haenzsche, Sebastian Hoppner, Rene Schuffny, "A 10 bit 16 MS/s redundant SAR ADC with flexible window function for a digitally controlled DC-DC converter in 28 nm CMOS", In Proceeding: NORCHIP, 2014, pp. 1–4, 2014. [doi]
Bibtex
@inproceedings{haenzsche201410,
title={A 10 bit 16 MS/s redundant SAR ADC with flexible window function for a digitally controlled DC-DC converter in 28 nm CMOS},
author={Haenzsche, Stefan and Hoppner, Sebastian and Schuffny, Rene},
booktitle={NORCHIP, 2014},
pages={1--4},
year={2014},
organization={IEEE},
doi={10.1109/NORCHIP.2014.7004704}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Haenzsche, Sebastian Hoppner, Georg Ellguth, Rene Schuffny, "A 12-b 4-MS/s SAR ADC With Configurable Redundancy in 28-nm CMOS Technology", In Circuits and Systems II: Express Briefs, IEEE Transactions on, IEEE, vol. 61, no. 11, pp. 835–839, 2014. [doi] [Bibtex & Downloads]
A 12-b 4-MS/s SAR ADC With Configurable Redundancy in 28-nm CMOS Technology
Reference
Stefan Haenzsche, Sebastian Hoppner, Georg Ellguth, Rene Schuffny, "A 12-b 4-MS/s SAR ADC With Configurable Redundancy in 28-nm CMOS Technology", In Circuits and Systems II: Express Briefs, IEEE Transactions on, IEEE, vol. 61, no. 11, pp. 835–839, 2014. [doi]
Bibtex
@article{haenzsche201412,
title={A 12-b 4-MS/s SAR ADC With Configurable Redundancy in 28-nm CMOS Technology},
author={Haenzsche, Stefan and Hoppner, Sebastian and Ellguth, Georg and Schuffny, Rene},
journal={Circuits and Systems II: Express Briefs, IEEE Transactions on},
volume={61},
number={11},
pages={835--839},
year={2014},
publisher={IEEE},
doi={10.1109/TCSII.2014.2345301}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Till Kolditz, Thomas Kissinger, Benjamin Schlegel, Dirk Habich, Wolfgang Lehner, "Online bit flip detection for in-memory B-trees on unreliable hardware", Proceedings of the Tenth International Workshop on Data Management on New Hardware, pp. 5, 2014. [doi] [Bibtex & Downloads]
Online bit flip detection for in-memory B-trees on unreliable hardware
Reference
Till Kolditz, Thomas Kissinger, Benjamin Schlegel, Dirk Habich, Wolfgang Lehner, "Online bit flip detection for in-memory B-trees on unreliable hardware", Proceedings of the Tenth International Workshop on Data Management on New Hardware, pp. 5, 2014. [doi]
Bibtex
@inproceedings{kolditz2014online,
title={Online bit flip detection for in-memory B-trees on unreliable hardware},
author={Kolditz, Till and Kissinger, Thomas and Schlegel, Benjamin and Habich, Dirk and Lehner, Wolfgang},
booktitle={Proceedings of the Tenth International Workshop on Data Management on New Hardware},
pages={5},
year={2014},
organization={ACM},
doi={10.1145/2619228.2619233}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Uroschanit Yodprasit, Stefan Schumann, Jan-Dirk Leufker, Corrado Carta, Frank Ellinger, "60-GHz OOK multi-chip transceiver modules for multi-Gbps wireless communications", In Proceeding: European Microwave Conference (EuMC), 2014 44th, pp. 1000–1003, 2014. [doi] [Bibtex & Downloads]
60-GHz OOK multi-chip transceiver modules for multi-Gbps wireless communications
Reference
Uroschanit Yodprasit, Stefan Schumann, Jan-Dirk Leufker, Corrado Carta, Frank Ellinger, "60-GHz OOK multi-chip transceiver modules for multi-Gbps wireless communications", In Proceeding: European Microwave Conference (EuMC), 2014 44th, pp. 1000–1003, 2014. [doi]
Bibtex
@inproceedings{yodprasit201460,
title={60-GHz OOK multi-chip transceiver modules for multi-Gbps wireless communications},
author={Yodprasit, Uroschanit and Schumann, Stefan and Leufker, Jan-Dirk and Carta, Corrado and Ellinger, Frank},
booktitle={European Microwave Conference (EuMC), 2014 44th},
pages={1000--1003},
year={2014},
organization={IEEE},
doi={10.1109/EuMC.2014.6986606}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Yue Ma, Rafael Penaloza, "Towards Parallel Repair: An Ontology Decomposition-based Approach⋆", 2014. [Bibtex & Downloads]
Towards Parallel Repair: An Ontology Decomposition-based Approach⋆
Reference
Yue Ma, Rafael Penaloza, "Towards Parallel Repair: An Ontology Decomposition-based Approach⋆", 2014.
Bibtex
@article{matowards,
title={Towards Parallel Repair: An Ontology Decomposition-based Approach⋆},
author={Ma, Yue and Penaloza, Rafael},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- John Thompson, Xing-Lai Ge, Hsiao-Chun Wu, Ralf Irmer, Hongbo Jiang, Gerhard Fettweis, Siavash Alamouti, "5G wireless communication systems: prospects and challenges [guest editorial]", In Communications Magazine, IEEE, IEEE, vol. 52, no. 2, pp. 62–64, 2014. [Bibtex & Downloads]
5G wireless communication systems: prospects and challenges [guest editorial]
Reference
John Thompson, Xing-Lai Ge, Hsiao-Chun Wu, Ralf Irmer, Hongbo Jiang, Gerhard Fettweis, Siavash Alamouti, "5G wireless communication systems: prospects and challenges [guest editorial]", In Communications Magazine, IEEE, IEEE, vol. 52, no. 2, pp. 62–64, 2014.
Bibtex
@article{thompson20145g,
title={5G wireless communication systems: prospects and challenges [guest editorial]},
author={Thompson, John and Ge, Xing-Lai and Wu, Hsiao-Chun and Irmer, Ralf and Jiang, Hongbo and Fettweis, Gerhard and Alamouti, Siavash},
journal={Communications Magazine, IEEE},
volume={52},
number={2},
pages={62--64},
year={2014},
publisher={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Gerhard P Fettweis, "The tactile internet: Applications and challenges", In Vehicular Technology Magazine, IEEE, IEEE, vol. 9, no. 1, pp. 64–70, 2014. [Bibtex & Downloads]
The tactile internet: Applications and challenges
Reference
Gerhard P Fettweis, "The tactile internet: Applications and challenges", In Vehicular Technology Magazine, IEEE, IEEE, vol. 9, no. 1, pp. 64–70, 2014.
Bibtex
@article{fettweis2014tactile,
title={The tactile internet: Applications and challenges},
author={Fettweis, Gerhard P},
journal={Vehicular Technology Magazine, IEEE},
volume={9},
number={1},
pages={64--70},
year={2014},
publisher={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Orchestration Path, Resilience Path
Permalink
- Jing Lv, Eduard A Jorswieck, "Transmission Strategies for MIMO Overlay Spectrum Sharing", In Proceeding: Smart Antennas (WSA), 2014 18th International ITG Workshop on, pp. 1–5, 2014. [Bibtex & Downloads]
Transmission Strategies for MIMO Overlay Spectrum Sharing
Reference
Jing Lv, Eduard A Jorswieck, "Transmission Strategies for MIMO Overlay Spectrum Sharing", In Proceeding: Smart Antennas (WSA), 2014 18th International ITG Workshop on, pp. 1–5, 2014.
Bibtex
@inproceedings{lv2014transmission,
title={Transmission Strategies for MIMO Overlay Spectrum Sharing},
author={Lv, Jing and Jorswieck, Eduard A},
booktitle={Smart Antennas (WSA), 2014 18th International ITG Workshop on},
pages={1--5},
year={2014},
organization={VDE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Marcus Hähnel, Julian Mendez, Veronika Thost, Anni-Yasmin Turhan, "Bridging the Application Knowledge Gap", 2014. [Bibtex & Downloads]
Bridging the Application Knowledge Gap
Reference
Marcus Hähnel, Julian Mendez, Veronika Thost, Anni-Yasmin Turhan, "Bridging the Application Knowledge Gap", 2014.
Bibtex
@article{hahnel2014bridging,
title={Bridging the Application Knowledge Gap},
author={H{\"a}hnel, Marcus and Mendez, Julian and Thost, Veronika and Turhan, Anni-Yasmin},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Jing Lv, Alessio Zappone, Eduard A Jorswieck, "Energy-efficient MIMO underlay spectrum sharing with rate splitting", In Proceeding: Signal Processing Advances in Wireless Communications (SPAWC), 2014 IEEE 15th International Workshop on, pp. 174–178, 2014. [Bibtex & Downloads]
Energy-efficient MIMO underlay spectrum sharing with rate splitting
Reference
Jing Lv, Alessio Zappone, Eduard A Jorswieck, "Energy-efficient MIMO underlay spectrum sharing with rate splitting", In Proceeding: Signal Processing Advances in Wireless Communications (SPAWC), 2014 IEEE 15th International Workshop on, pp. 174–178, 2014.
Bibtex
@inproceedings{lv2014energy,
title={Energy-efficient MIMO underlay spectrum sharing with rate splitting},
author={Lv, Jing and Zappone, Alessio and Jorswieck, Eduard A},
booktitle={Signal Processing Advances in Wireless Communications (SPAWC), 2014 IEEE 15th International Workshop on},
pages={174--178},
year={2014},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christian Mayr, Johannes Partzsch, Marko Noack, Stefan Hanzsche, Stefan Scholze, Sebastian Hoppner, Georg Ellguth, Rene Schuffny, "A biological-realtime neuromorphic system in 28 nm CMOS using low-leakage switched capacitor circuits", IEEE, 2014. [Bibtex & Downloads]
A biological-realtime neuromorphic system in 28 nm CMOS using low-leakage switched capacitor circuits
Reference
Christian Mayr, Johannes Partzsch, Marko Noack, Stefan Hanzsche, Stefan Scholze, Sebastian Hoppner, Georg Ellguth, Rene Schuffny, "A biological-realtime neuromorphic system in 28 nm CMOS using low-leakage switched capacitor circuits", IEEE, 2014.
Bibtex
@article{mayr2014biological,
title={A biological-realtime neuromorphic system in 28 nm CMOS using low-leakage switched capacitor circuits},
author={Mayr, Christian and Partzsch, Johannes and Noack, Marko and Hanzsche, Stefan and Scholze, Stefan and Hoppner, Sebastian and Ellguth, Georg and Schuffny, Rene},
year={2014},
publisher={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Biomolecular-Assembled Circuits Path, Resilience Path
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "The Bayesian Description Logic ${\mathcal{BEL}}$", In Proceeding: Automated Reasoning, Springer Science + Business Media, vol. 8562, pp. 480-494, 2014. [doi] [Bibtex & Downloads]
The Bayesian Description Logic ${\mathcal{BEL}}$
Reference
\.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "The Bayesian Description Logic ${\mathcal{BEL}}$", In Proceeding: Automated Reasoning, Springer Science + Business Media, vol. 8562, pp. 480-494, 2014. [doi]
Bibtex
@inproceedings{ceylan14_bayes_descr_logic,
DATE_ADDED = {Thu Sep 29 08:41:11 2016},
author = {{\.I}smail {\.I}lkan Ceylan and Rafael Pe{\~n}aloza},
booktitle = {Automated Reasoning},
doi = {10.1007/978-3-319-08587-6_37},
pages = {480-494},
publisher = {Springer Science + Business Media},
series = {Lecture Notes in Computer Science},
volume = {8562},
title = {{The Bayesian Description Logic ${\mathcal{BEL}}$}},
url = {http://dx.doi.org/10.1007/978-3-319-08587-6_37},
year = {2014},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- \.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Tight Complexity Bounds for Reasoning in the Description Logic
$\mathcal{BE{\kern-.1em}L}$", In Proceeding: Logics in Artificial Intelligence, Springer Science + Business Media, vol. 8761, pp. 77-91, 2014. [doi] [Bibtex & Downloads]
Tight Complexity Bounds for Reasoning in the Description Logic $\mathcal{BE{\kern-.1em}L}$
Reference
\.Ismail \.Ilkan Ceylan, Rafael Peñaloza, "Tight Complexity Bounds for Reasoning in the Description Logic $\mathcal{BE{\kern-.1em}L}$", In Proceeding: Logics in Artificial Intelligence, Springer Science + Business Media, vol. 8761, pp. 77-91, 2014. [doi]
Bibtex
@inproceedings{ceylan14_tight_compl_bound_reason_descr_logic,
DATE_ADDED = {Thu Sep 29 08:42:37 2016},
author = {{\.I}smail {\.I}lkan Ceylan and Rafael Pe{\~n}aloza},
booktitle = {Logics in Artificial Intelligence},
doi = {10.1007/978-3-319-11558-0_6},
pages = {77-91},
publisher = {Springer Science + Business Media},
series = {Lecture Notes in Artificial Intelligence},
volume = {8761},
title = {Tight Complexity Bounds for Reasoning in the Description Logic
$\mathcal{BE{\kern-.1em}L}$},
url = {http://dx.doi.org/10.1007/978-3-319-11558-0_6},
year = {2014},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Michel Ludwig, Rafael Peñaloza, "Error-Tolerant Reasoning in the Description Logic
$\mathcal{E\!L}$", In Proceeding: Logics in Artificial Intelligence (Eduardo Fermé and João Leite), Springer Science + Business Media, vol. 8761, pp. 107-121, 2014. [doi] [Bibtex & Downloads]
Error-Tolerant Reasoning in the Description Logic $\mathcal{E\!L}$
Reference
Michel Ludwig, Rafael Peñaloza, "Error-Tolerant Reasoning in the Description Logic $\mathcal{E\!L}$", In Proceeding: Logics in Artificial Intelligence (Eduardo Fermé and João Leite), Springer Science + Business Media, vol. 8761, pp. 107-121, 2014. [doi]
Bibtex
@inproceedings{ludwig14_error_toler_reason_descr_logic,
author = {Michel Ludwig and Rafael Pe{\~n}aloza},
title = {Error-Tolerant Reasoning in the Description Logic
$\mathcal{E\!L}$},
booktitle = {Logics in Artificial Intelligence},
year = 2014,
volume = 8761,
pages = {107-121},
doi = {10.1007/978-3-319-11558-0_8},
url = {http://dx.doi.org/10.1007/978-3-319-11558-0_8},
DATE_ADDED = {Thu Sep 29 08:49:46 2016},
editor = {Eduardo Ferm\'e and Jo\~ao Leite},
publisher = {Springer Science + Business Media},
series = {Lecture Notes in Computer Science},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Hani Salah, Stefanie Roos, Thorsten Strufe, "Characterizing Graph-Theoretic Properties of a Large-Scale DHT: Measurements vs. Simulations", In Proceeding: IEEE ISCC, 2014. [Bibtex & Downloads]
Characterizing Graph-Theoretic Properties of a Large-Scale DHT: Measurements vs. Simulations
Reference
Hani Salah, Stefanie Roos, Thorsten Strufe, "Characterizing Graph-Theoretic Properties of a Large-Scale DHT: Measurements vs. Simulations", In Proceeding: IEEE ISCC, 2014.
Bibtex
@inproceedings{salah14characterizing,
author = {Hani Salah and Stefanie Roos and Thorsten Strufe},
title = {Characterizing Graph-Theoretic Properties of a Large-Scale DHT: Measurements vs. Simulations},
year = {2014},
booktitle = {IEEE ISCC},
note = {\textbf{Best Paper Award}}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Rami Mochaourab, Eduard A Jorswieck, "Coalitional games in MISO interference channels: Epsilon-core and coalition structure stable set", In IEEE Transactions on Signal Processing, IEEE, vol. 62, no. 24, pp. 6507–6520, 2014. [Bibtex & Downloads]
Coalitional games in MISO interference channels: Epsilon-core and coalition structure stable set
Reference
Rami Mochaourab, Eduard A Jorswieck, "Coalitional games in MISO interference channels: Epsilon-core and coalition structure stable set", In IEEE Transactions on Signal Processing, IEEE, vol. 62, no. 24, pp. 6507–6520, 2014.
Bibtex
@article{mochaourab2014coalitional,
title={Coalitional games in MISO interference channels: Epsilon-core and coalition structure stable set},
author={Mochaourab, Rami and Jorswieck, Eduard A},
journal={IEEE Transactions on Signal Processing},
volume={62},
number={24},
pages={6507--6520},
year={2014},
publisher={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "Gödel FL_0 with Greatest Fixed-Point Semantics.", In Proceeding: Description Logics, pp. 71–82, 2014. [Bibtex & Downloads]
Gödel FL_0 with Greatest Fixed-Point Semantics.
Reference
Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "Gödel FL_0 with Greatest Fixed-Point Semantics.", In Proceeding: Description Logics, pp. 71–82, 2014.
Bibtex
@inproceedings{borgwardt2014godel,
title={G{\"o}del FL\_0 with Greatest Fixed-Point Semantics.},
author={Borgwardt, Stefan and Galano, Jos{\'e} A Leyva and Pe{\~n}aloza, Rafael},
booktitle={Description Logics},
pages={71--82},
year={2014}
}Downloads
No Downloads available for this publication
Related Paths
Resilience Path, other
Permalink
- Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "The fuzzy description logic G-FL0 with greatest fixed-point semantics", Proceedings of the 14th European Conference on Logics in Artificial Intelligence (JELIA’14), vol. 8761, pp. 62–76, 2014. [Bibtex & Downloads]
The fuzzy description logic G-FL0 with greatest fixed-point semantics
Reference
Stefan Borgwardt, José A Leyva Galano, Rafael Peñaloza, "The fuzzy description logic G-FL0 with greatest fixed-point semantics", Proceedings of the 14th European Conference on Logics in Artificial Intelligence (JELIA’14), vol. 8761, pp. 62–76, 2014.
Bibtex
@inproceedings{borgwardt2014fuzzy,
title={The fuzzy description logic G-FL0 with greatest fixed-point semantics},
author={Borgwardt, Stefan and Galano, Jos{\'e} A Leyva and Pe{\~n}aloza, Rafael},
booktitle={Proceedings of the 14th European Conference on Logics in Artificial Intelligence (JELIA’14)},
volume={8761},
pages={62--76},
year={2014},
organization={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
2013
- M. Mittelbach, E. A. Jorswieck, "Information regular and #x03C8;-mixing channels", Information Theory Proceedings (ISIT), 2013 IEEE International Symposium on, pp. 2094-2098, July 2013. [doi] [Bibtex & Downloads]
Information regular and #x03C8;-mixing channels
Reference
M. Mittelbach, E. A. Jorswieck, "Information regular and #x03C8;-mixing channels", Information Theory Proceedings (ISIT), 2013 IEEE International Symposium on, pp. 2094-2098, July 2013. [doi]
Bibtex
@inproceedings{6620595,
Author = {M. Mittelbach and E. A. Jorswieck},
Booktitle = {Information Theory Proceedings (ISIT), 2013 IEEE International Symposium on},
Date-Added = {2016-11-07 06:25:02 +0000},
Date-Modified = {2016-11-07 06:25:02 +0000},
Doi = {10.1109/ISIT.2013.6620595},
Issn = {2157-8095},
Keywords = {Gaussian channels;channel capacity;channel coding;continuous time systems;discrete time systems;ψ-mixing channels;Gaussian asymptotic output-memoryless channels;abstract alphabets;asymptotic output-memorylessness;coding theorem;continuous-time channels;discrete-time channel;finite output memory;infinite information capacity;information regular channel;information regularity;time structure;Additive noise;Encoding;Fading;Random processes;Random variables},
Month = {July},
Pages = {2094-2098},
Title = {Information regular and #x03C8;-mixing channels},
Year = {2013},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/ISIT.2013.6620595}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Adrian Cristal, P. Felber, C. Fetzer, D. Harmanci, A. Sobe, O. Unsal, JT Wamhoff, G. Yalcin, "Leveraging transactional memory for energyefficient computing below safe operation margins", Proceedings of the 8th ACM SIGPLAN Workshop on Transactional Computing, ser. TRANSACT. ACM, 2013. [Bibtex & Downloads]
Leveraging transactional memory for energyefficient computing below safe operation margins
Reference
Adrian Cristal, P. Felber, C. Fetzer, D. Harmanci, A. Sobe, O. Unsal, JT Wamhoff, G. Yalcin, "Leveraging transactional memory for energyefficient computing below safe operation margins", Proceedings of the 8th ACM SIGPLAN Workshop on Transactional Computing, ser. TRANSACT. ACM, 2013.
Bibtex
@inproceedings{cristal2013leveraging,
title={Leveraging transactional memory for energyefficient computing below safe operation margins},
author={Cristal, Adrian and Felber, P and Fetzer, C and Harmanci, D and Sobe, A and Unsal, O and Wamhoff, JT and Yalcin, G},
booktitle={Proceedings of the 8th ACM SIGPLAN Workshop on Transactional Computing, ser. TRANSACT. ACM},
year={2013}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Andreas Ecke, Rafael Peñaloza, Anni-Yasmin Turhan, "Towards instance query answering for concepts relaxed by similarity measures", In WL4AI-2013, pp. 50, 2013. [Bibtex & Downloads]
Towards instance query answering for concepts relaxed by similarity measures
Reference
Andreas Ecke, Rafael Peñaloza, Anni-Yasmin Turhan, "Towards instance query answering for concepts relaxed by similarity measures", In WL4AI-2013, pp. 50, 2013.
Bibtex
@article{ecke2013towards,
title={Towards instance query answering for concepts relaxed by similarity measures},
author={Ecke, Andreas and Pe{\~n}aloza, Rafael and Turhan, Anni-Yasmin},
journal={WL4AI-2013},
pages={50},
year={2013}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Andreas Herzig, Emiliano Lorini, Dirk Walther, "Reasoning about actions meets strategic logics", Chapter in Logic, Rationality, and Interaction, Springer, pp. 162–175, 2013. [doi] [Bibtex & Downloads]
Reasoning about actions meets strategic logics
Reference
Andreas Herzig, Emiliano Lorini, Dirk Walther, "Reasoning about actions meets strategic logics", Chapter in Logic, Rationality, and Interaction, Springer, pp. 162–175, 2013. [doi]
Bibtex
@incollection{herzig2013reasoning,
author={Herzig, Andreas and Lorini, Emiliano and Walther, Dirk},
booktitle={Logic, Rationality, and Interaction},
doi={10.1007/978-3-642-40948-6_13},
pages={162–175},
publisher={Springer},
title={Reasoning about actions meets strategic logics},
url={https://doi.org/10.1007%2F978-3-642-40948-6_13},
year={2013},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Benjamin Zarrieß, Anni-Yasmin Turhan, "IJCAI 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August 3-9, 2013", IJCAI 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August
3-9, 2013 (Francesca Rossi), IJCAI/AAAI, pp. 1191-1197, 2013. [Bibtex & Downloads]
IJCAI 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August 3-9, 2013
Reference
Benjamin Zarrieß, Anni-Yasmin Turhan, "IJCAI 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August 3-9, 2013", IJCAI 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August 3-9, 2013 (Francesca Rossi), IJCAI/AAAI, pp. 1191-1197, 2013.
Bibtex
@InProceedings{conf/ijcai/ZarriessT13,
author = {Benjamin Zarrie{\ss} and Anni{-}Yasmin Turhan},
title = {Most Specific Generalizations w.r.t. General EL-TBoxes},
booktitle = {{IJCAI} 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August
3-9, 2013},
pages = {1191-1197},
url = {http://www.aaai.org/ocs/index.php/IJCAI/IJCAI13/paper/view/6709},
editor = {Francesca Rossi},
title = {{IJCAI} 2013, Proceedings of the 23rd International Joint Conference on Artificial Intelligence, Beijing, China, August 3-9, 2013},
publisher = ,
year = {2013},
isbn = {978-1-57735-633-2},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christel Baier, "Quantitative Analysis of Randomized Distributed Systems and Probabilistic Automata", Chapter in Algebraic Informatics, Springer, pp. 4–5, 2013. [doi] [Bibtex & Downloads]
Quantitative Analysis of Randomized Distributed Systems and Probabilistic Automata
Reference
Christel Baier, "Quantitative Analysis of Randomized Distributed Systems and Probabilistic Automata", Chapter in Algebraic Informatics, Springer, pp. 4–5, 2013. [doi]
Bibtex
@incollection{baier2013quantitative,
title={Quantitative Analysis of Randomized Distributed Systems and Probabilistic Automata},
author={Baier, Christel},
booktitle={Algebraic Informatics},
pages={4--5},
year={2013},
publisher={Springer},
doi={10.1007/978-3-642-40663-8_2}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Benjamin Engel, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "A Probabilistic Quantitative Analysis of Probabilistic-Write/Copy-Select.", In Proceeding: NASA Formal Methods, pp. 307–321, 2013. [doi] [Bibtex & Downloads]
A Probabilistic Quantitative Analysis of Probabilistic-Write/Copy-Select.
Reference
Christel Baier, Benjamin Engel, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "A Probabilistic Quantitative Analysis of Probabilistic-Write/Copy-Select.", In Proceeding: NASA Formal Methods, pp. 307–321, 2013. [doi]
Bibtex
@inproceedings{baier2013probabilistic,
title={A Probabilistic Quantitative Analysis of Probabilistic-Write/Copy-Select.},
author={Baier, Christel and Engel, Benjamin and Kl{\"u}ppelholz, Sascha and M{\"a}rcker, Steffen and Tews, Hendrik and V{\"o}lp, Marcus},
booktitle={NASA Formal Methods},
pages={307--321},
year={2013},
organization={Springer},
doi={10.1007/978-3-642-38088-4_21}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Diogo Behrens, Christof Fetzer, Flavio P Junqueira, Marco Serafini, "Towards transparent hardening of distributed systems", Proceedings of the 9th Workshop on Hot Topics in Dependable Systems, pp. 4, 2013. [doi] [Bibtex & Downloads]
Towards transparent hardening of distributed systems
Reference
Diogo Behrens, Christof Fetzer, Flavio P Junqueira, Marco Serafini, "Towards transparent hardening of distributed systems", Proceedings of the 9th Workshop on Hot Topics in Dependable Systems, pp. 4, 2013. [doi]
Bibtex
@inproceedings{behrens2013towards,
title={Towards transparent hardening of distributed systems},
author={Behrens, Diogo and Fetzer, Christof and Junqueira, Flavio P and Serafini, Marco},
booktitle={Proceedings of the 9th Workshop on Hot Topics in Dependable Systems},
pages={4},
year={2013},
organization={ACM},
doi={10.1145/2524224.2524230}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Diogo Behrens, Stefan Weigert, Christof Fetzer, "Automatically tolerating arbitrary faults in non-malicious settings", In Proceeding: Dependable Computing (LADC), 2013 Sixth Latin-American Symposium on, pp. 114–123, 2013. [doi] [Bibtex & Downloads]
Automatically tolerating arbitrary faults in non-malicious settings
Reference
Diogo Behrens, Stefan Weigert, Christof Fetzer, "Automatically tolerating arbitrary faults in non-malicious settings", In Proceeding: Dependable Computing (LADC), 2013 Sixth Latin-American Symposium on, pp. 114–123, 2013. [doi]
Bibtex
@inproceedings{behrens2013automatically,
title={Automatically tolerating arbitrary faults in non-malicious settings},
author={Behrens, Diogo and Weigert, Stefan and Fetzer, Christof},
booktitle={Dependable Computing (LADC), 2013 Sixth Latin-American Symposium on},
pages={114--123},
year={2013},
organization={IEEE},
doi={10.1109/LADC.2013.26}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, Stefan Borgwardt, Marcel Lippmann, "Temporalizing ontology-based data access", Chapter in Automated Deduction–CADE-24, Springer, pp. 330–344, 2013. [doi] [Bibtex & Downloads]
Temporalizing ontology-based data access
Reference
Franz Baader, Stefan Borgwardt, Marcel Lippmann, "Temporalizing ontology-based data access", Chapter in Automated Deduction–CADE-24, Springer, pp. 330–344, 2013. [doi]
Bibtex
@incollection{baader2013temporalizing,
title={Temporalizing ontology-based data access},
author={Baader, Franz and Borgwardt, Stefan and Lippmann, Marcel},
booktitle={Automated Deduction--CADE-24},
pages={330--344},
year={2013},
publisher={Springer},
doi={10.1007/978-3-642-38574-2}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Frezewd Lemma, Johannes Schad, Christof Fetzer, "Dynamic Replication Technique for Micro-Clouds Based Distributed Storage System", In Proceeding: Cloud and Green Computing (CGC), 2013 Third International Conference on, pp. 48–53, 2013. [doi] [Bibtex & Downloads]
Dynamic Replication Technique for Micro-Clouds Based Distributed Storage System
Reference
Frezewd Lemma, Johannes Schad, Christof Fetzer, "Dynamic Replication Technique for Micro-Clouds Based Distributed Storage System", In Proceeding: Cloud and Green Computing (CGC), 2013 Third International Conference on, pp. 48–53, 2013. [doi]
Bibtex
@inproceedings{lemma2013dynamic,
title={Dynamic Replication Technique for Micro-Clouds Based Distributed Storage System},
author={Lemma, Frezewd and Schad, Johannes and Fetzer, Christof},
booktitle={Cloud and Green Computing (CGC), 2013 Third International Conference on},
pages={48--53},
year={2013},
organization={IEEE},
doi={10.1109/CGC.2013.16}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Jan Dirk Leufker, Axel Strobel, Corrado Carta, Frank Ellinger, "A wideband planar microstrip to coplanar stripline transition (balun) at 35 GHz", In Proceeding: Ph. D. Research in Microelectronics and Electronics (PRIME), 2013 9th Conference on, pp. 305–308, 2013. [doi] [Bibtex & Downloads]
A wideband planar microstrip to coplanar stripline transition (balun) at 35 GHz
Reference
Jan Dirk Leufker, Axel Strobel, Corrado Carta, Frank Ellinger, "A wideband planar microstrip to coplanar stripline transition (balun) at 35 GHz", In Proceeding: Ph. D. Research in Microelectronics and Electronics (PRIME), 2013 9th Conference on, pp. 305–308, 2013. [doi]
Bibtex
@inproceedings{leufker2013wideband,
title={A wideband planar microstrip to coplanar stripline transition (balun) at 35 GHz},
author={Leufker, Jan Dirk and Strobel, Axel and Carta, Corrado and Ellinger, Frank},
booktitle={Ph. D. Research in Microelectronics and Electronics (PRIME), 2013 9th Conference on},
pages={305--308},
year={2013},
organization={IEEE},
doi={10.1109/PRIME.2013.6603166}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Jons-Tobias Wamhoff, Mario Schwalbe, Rasha Faqeh, Christof Fetzer, Pascal Felber, "Transactional encoding for tolerating transient hardware errors", Chapter in Stabilization, Safety, and Security of Distributed Systems, Springer, pp. 1–16, 2013. [doi] [Bibtex & Downloads]
Transactional encoding for tolerating transient hardware errors
Reference
Jons-Tobias Wamhoff, Mario Schwalbe, Rasha Faqeh, Christof Fetzer, Pascal Felber, "Transactional encoding for tolerating transient hardware errors", Chapter in Stabilization, Safety, and Security of Distributed Systems, Springer, pp. 1–16, 2013. [doi]
Bibtex
@incollection{wamhoff2013transactional,
title={Transactional encoding for tolerating transient hardware errors},
author={Wamhoff, Jons-Tobias and Schwalbe, Mario and Faqeh, Rasha and Fetzer, Christof and Felber, Pascal},
booktitle={Stabilization, Safety, and Security of Distributed Systems},
pages={1--16},
year={2013},
publisher={Springer},
doi={10.1007/978-3-319-03089-0_1}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Mario Alviano, Rafael Penaloza, "Fuzzy answer sets approximations", In Theory and Practice of Logic Programming, Cambridge Univ Press, vol. 13, no. 4-5, pp. 753–767, 2013. [Bibtex & Downloads]
Fuzzy answer sets approximations
Reference
Mario Alviano, Rafael Penaloza, "Fuzzy answer sets approximations", In Theory and Practice of Logic Programming, Cambridge Univ Press, vol. 13, no. 4-5, pp. 753–767, 2013.
Bibtex
@article{alviano2013fuzzy,
title={Fuzzy answer sets approximations},
author={Alviano, Mario and Penaloza, Rafael},
journal={Theory and Practice of Logic Programming},
volume={13},
number={4-5},
pages={753--767},
year={2013},
publisher={Cambridge Univ Press}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Michael Ummels, Christel Baier, "Computing quantiles in Markov reward models", In Proceeding: Foundations of Software Science and Computation Structures, pp. 353–368, 2013. [doi] [Bibtex & Downloads]
Computing quantiles in Markov reward models
Reference
Michael Ummels, Christel Baier, "Computing quantiles in Markov reward models", In Proceeding: Foundations of Software Science and Computation Structures, pp. 353–368, 2013. [doi]
Bibtex
@inproceedings{ummels2013computing,
title={Computing quantiles in Markov reward models},
author={Ummels, Michael and Baier, Christel},
booktitle={Foundations of Software Science and Computation Structures},
pages={353--368},
year={2013},
organization={Springer},
doi={10.1007/978-3-642-37075-5_23}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Rafael Peñaloza, Tingting Zou, "Rough EL Classification", Informal Proceedings of the 26th International Workshop on Description Logics, Ulm, Germany, July 23 - 26, 2013 (Thomas Eiter and Birte Glimm and Yevgeny Kazakov and Markus Krötzsch), CEUR-WS.org, vol. 1014, pp. 415-427, 2013. [Bibtex & Downloads]
Rough EL Classification
Reference
Rafael Peñaloza, Tingting Zou, "Rough EL Classification", Informal Proceedings of the 26th International Workshop on Description Logics, Ulm, Germany, July 23 - 26, 2013 (Thomas Eiter and Birte Glimm and Yevgeny Kazakov and Markus Krötzsch), CEUR-WS.org, vol. 1014, pp. 415-427, 2013.
Bibtex
@InProceedings{conf/dlog/PenalozaZ13,
author = {Rafael Pe{\~{n}}aloza and Tingting Zou},
title = {Rough {EL} Classification},
booktitle = {Informal Proceedings of the 26th International Workshop on Description Logics, Ulm, Germany, July 23 - 26, 2013},
pages = {415-427},
url = {http://ceur-ws.org/Vol-1014/paper_7.pdf},
editor = {Thomas Eiter and Birte Glimm and Yevgeny Kazakov and Markus Kr{\"{o}}tzsch},
series = {{CEUR} Workshop Proceedings},
volume = {1014},
publisher = {CEUR-WS.org},
year = {2013},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Rafael Peñaloza, Tingting Zou, "Roughening the Envelope", Frontiers of Combining Systems - 9th International Symposium, FroCoS 2013, Nancy, France, September 18-20, 2013. Proceedings (Pascal Fontaine and Christophe Ringeissen and Renate A. Schmidt), Springer, vol. 8152, pp. 71–86, 2013. [doi] [Bibtex & Downloads]
Roughening the Envelope
Reference
Rafael Peñaloza, Tingting Zou, "Roughening the Envelope", Frontiers of Combining Systems - 9th International Symposium, FroCoS 2013, Nancy, France, September 18-20, 2013. Proceedings (Pascal Fontaine and Christophe Ringeissen and Renate A. Schmidt), Springer, vol. 8152, pp. 71–86, 2013. [doi]
Bibtex
@inproceedings{conf/frocos/PenalozaZ13,
author = {Rafael Pe{\~{n}}aloza and Tingting Zou},
title = {Roughening the Envelope},
booktitle = {Frontiers of Combining Systems - 9th International Symposium, FroCoS 2013, Nancy, France, September 18-20, 2013. Proceedings},
pages = {71--86},
url = {http://dx.doi.org/10.1007/978-3-642-40885-4_6},
doi = {10.1007/978-3-642-40885-4_6},
editor = {Pascal Fontaine and Christophe Ringeissen and Renate A. Schmidt},
series = {Lecture Notes in Computer Science},
volume = {8152},
publisher = {Springer},
year = {2013},
isbn = {978-3-642-40884-7},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Rafael Peñaloza, "About Subsumption in Fuzzy EL", Informal Proceedings of the 26th International Workshop on Description Logics, Ulm, Germany, July 23 - 26, 2013 (Thomas Eiter and
Birte Glimm and
Yevgeny Kazakov and
Markus Krötzsch), CEUR-WS.org, vol. 1014, pp. 526-538, 2013. [Bibtex & Downloads]
About Subsumption in Fuzzy EL
Reference
Stefan Borgwardt, Rafael Peñaloza, "About Subsumption in Fuzzy EL", Informal Proceedings of the 26th International Workshop on Description Logics, Ulm, Germany, July 23 - 26, 2013 (Thomas Eiter and Birte Glimm and Yevgeny Kazakov and Markus Krötzsch), CEUR-WS.org, vol. 1014, pp. 526-538, 2013.
Bibtex
@InProceedings{conf/dlog/BorgwardtP13,
author = {Stefan Borgwardt and Rafael Pe{\~{n}}aloza},
title = {About Subsumption in Fuzzy {EL}},
booktitle = {Informal Proceedings of the 26th International Workshop on Description Logics, Ulm, Germany, July 23 - 26, 2013},
pages = {526-538},
url = {http://ceur-ws.org/Vol-1014/paper_48.pdf},
editor = {Thomas Eiter and
Birte Glimm and
Yevgeny Kazakov and
Markus Kr{\"{o}}tzsch},
series = {{CEUR} Workshop Proceedings},
volume = {1014},
publisher = {CEUR-WS.org},
year = {2013},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Rafael Peñaloza, "Positive Subsumption in Fuzzy EL with General T-norms", Proceedings of the Twenty-Third International Joint Conference on Artificial Intelligence, AAAI Press, pp. 789–795, 2013. [Bibtex & Downloads]
Positive Subsumption in Fuzzy EL with General T-norms
Reference
Stefan Borgwardt, Rafael Peñaloza, "Positive Subsumption in Fuzzy EL with General T-norms", Proceedings of the Twenty-Third International Joint Conference on Artificial Intelligence, AAAI Press, pp. 789–795, 2013.
Bibtex
@inproceedings{Borgwardt:2013:PSF:2540128.2540243,
author={Borgwardt, Stefan and Pe\~{n}aloza, Rafael},
title={Positive Subsumption in Fuzzy EL with General T-norms},
booktitle={Proceedings of the Twenty-Third International Joint Conference on Artificial Intelligence},
series={IJCAI '13},
year={2013},
isbn={978-1-57735-633-2},
location={Beijing, China},
pages={789--795},
numpages={7},
url={http://dl.acm.org/citation.cfm?id=2540128.2540243},
acmid={2540243},
publisher={AAAI Press},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Marcel Lippmann, Veronika Thost, "Temporal query answering in the description logic DL-Lite", Chapter in Frontiers of Combining Systems, Springer, pp. 165–180, 2013. [doi] [Bibtex & Downloads]
Temporal query answering in the description logic DL-Lite
Reference
Stefan Borgwardt, Marcel Lippmann, Veronika Thost, "Temporal query answering in the description logic DL-Lite", Chapter in Frontiers of Combining Systems, Springer, pp. 165–180, 2013. [doi]
Bibtex
@incollection{borgwardt2013temporal,
title={Temporal query answering in the description logic DL-Lite},
author={Borgwardt, Stefan and Lippmann, Marcel and Thost, Veronika},
booktitle={Frontiers of Combining Systems},
pages={165--180},
year={2013},
publisher={Springer},
doi={10.1007/978-3-642-40885-4_11}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- S. Hoppner, Dennis Walter, Holger Eisenreich, Stefan Schiefer, R. Schuffny, "Live demonstration: A 90GBit/s serial NoC link over 6mm in 65nm CMOS technology", In Proceeding: Circuit Theory and Design (ECCTD), 2013 European Conference on, pp. 1–1, 2013. [doi] [Bibtex & Downloads]
Live demonstration: A 90GBit/s serial NoC link over 6mm in 65nm CMOS technology
Reference
S. Hoppner, Dennis Walter, Holger Eisenreich, Stefan Schiefer, R. Schuffny, "Live demonstration: A 90GBit/s serial NoC link over 6mm in 65nm CMOS technology", In Proceeding: Circuit Theory and Design (ECCTD), 2013 European Conference on, pp. 1–1, 2013. [doi]
Bibtex
@inproceedings{hoppner2013live,
title={Live demonstration: A 90GBit/s serial NoC link over 6mm in 65nm CMOS technology},
author={Hoppner, S and Walter, Dennis and Eisenreich, Holger and Schiefer, Stefan and Schuffny, R},
booktitle={Circuit Theory and Design (ECCTD), 2013 European Conference on},
pages={1--1},
year={2013},
organization={IEEE},
doi={10.1109/ECCTD.2013.6662230}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- S. Hoppner, Stefan Haenzsche, Georg Ellguth, Dennis Walter, Holger Eisenreich, R. Schuffny, "A fast-locking ADPLL with instantaneous restart capability in 28-nm CMOS technology", In Circuits and Systems II: Express Briefs, IEEE Transactions on, IEEE, vol. 60, no. 11, pp. 741–745, 2013. [doi] [Bibtex & Downloads]
A fast-locking ADPLL with instantaneous restart capability in 28-nm CMOS technology
Reference
S. Hoppner, Stefan Haenzsche, Georg Ellguth, Dennis Walter, Holger Eisenreich, R. Schuffny, "A fast-locking ADPLL with instantaneous restart capability in 28-nm CMOS technology", In Circuits and Systems II: Express Briefs, IEEE Transactions on, IEEE, vol. 60, no. 11, pp. 741–745, 2013. [doi]
Bibtex
@article{hoppner2013fast,
title={A fast-locking ADPLL with instantaneous restart capability in 28-nm CMOS technology},
author={Hoppner, S and Haenzsche, Stefan and Ellguth, Georg and Walter, Dennis and Eisenreich, Holger and Schuffny, R},
journal={Circuits and Systems II: Express Briefs, IEEE Transactions on},
volume={60},
number={11},
pages={741--745},
year={2013},
publisher={IEEE},
doi={10.1109/TCSII.2013.2278123}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Syed Kewaan Ejaz, Diogo Behrens, Thomas Knauth, Christof Fetzer, "Improving Wide-Area Replication Performance through Informed Leader Election and Overlay Construction", In Proceeding: Cloud Computing (CLOUD), 2013 IEEE Sixth International Conference on, pp. 422–429, 2013. [doi] [Bibtex & Downloads]
Improving Wide-Area Replication Performance through Informed Leader Election and Overlay Construction
Reference
Syed Kewaan Ejaz, Diogo Behrens, Thomas Knauth, Christof Fetzer, "Improving Wide-Area Replication Performance through Informed Leader Election and Overlay Construction", In Proceeding: Cloud Computing (CLOUD), 2013 IEEE Sixth International Conference on, pp. 422–429, 2013. [doi]
Bibtex
@inproceedings{ejaz2013improving,
title={Improving Wide-Area Replication Performance through Informed Leader Election and Overlay Construction},
author={Ejaz, Syed Kewaan and Behrens, Diogo and Knauth, Thomas and Fetzer, Christof},
booktitle={Cloud Computing (CLOUD), 2013 IEEE Sixth International Conference on},
pages={422--429},
year={2013},
organization={IEEE},
doi={10.1109/CLOUD.2013.86}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Tobias Hilbrich, Bronis R. de Supinski, Wolfgang E. Nagel, Joachim Protze, Christel Baier, Matthias S. Muller, "Distributed wait state tracking for runtime MPI deadlock detection", In Proceeding: High Performance Computing, Networking, Storage and Analysis (SC), 2013 International Conference for, pp. 1–12, 2013. [doi] [Bibtex & Downloads]
Distributed wait state tracking for runtime MPI deadlock detection
Reference
Tobias Hilbrich, Bronis R. de Supinski, Wolfgang E. Nagel, Joachim Protze, Christel Baier, Matthias S. Muller, "Distributed wait state tracking for runtime MPI deadlock detection", In Proceeding: High Performance Computing, Networking, Storage and Analysis (SC), 2013 International Conference for, pp. 1–12, 2013. [doi]
Bibtex
@inproceedings{hilbrich2013distributed,
title={Distributed wait state tracking for runtime MPI deadlock detection},
author={Hilbrich, Tobias and de Supinski, Bronis R. and Nagel, Wolfgang E. and Protze, Joachim and Baier, Christel and Muller, Matthias S.},
booktitle={High Performance Computing, Networking, Storage and Analysis (SC), 2013 International Conference for},
pages={1--12},
year={2013},
organization={IEEE},
doi={10.1145/2503210.2503237}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Thomas Knauth, Christof Fetzer, "dsync: Efficient Block-wise Synchronization of Multi-Gigabyte Binary Data.", In Proceeding: LISA, pp. 45–58, 2013. [Bibtex & Downloads]
dsync: Efficient Block-wise Synchronization of Multi-Gigabyte Binary Data.
Reference
Thomas Knauth, Christof Fetzer, "dsync: Efficient Block-wise Synchronization of Multi-Gigabyte Binary Data.", In Proceeding: LISA, pp. 45–58, 2013.
Bibtex
@inproceedings{knauth2013dsync,
title={dsync: Efficient Block-wise Synchronization of Multi-Gigabyte Binary Data.},
author={Knauth, Thomas and Fetzer, Christof},
booktitle={LISA},
pages={45--58},
year={2013}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Olaf Landsiedel, Federico Ferrari, Marco Zimmerling, "Chaos: Versatile and Efficient All-to-all Data Sharing and In-network Processing at Scale", Proceedings of the 11th ACM Conference on Embedded Networked Sensor Systems, ACM, pp. 1:1–1:14, New York, NY, USA, 2013. [doi] [Bibtex & Downloads]
Chaos: Versatile and Efficient All-to-all Data Sharing and In-network Processing at Scale
Reference
Olaf Landsiedel, Federico Ferrari, Marco Zimmerling, "Chaos: Versatile and Efficient All-to-all Data Sharing and In-network Processing at Scale", Proceedings of the 11th ACM Conference on Embedded Networked Sensor Systems, ACM, pp. 1:1–1:14, New York, NY, USA, 2013. [doi]
Bibtex
@inproceedings{Landsiedel:2013:CVE:2517351.2517358,
author= {Landsiedel, Olaf and Ferrari, Federico and Zimmerling, Marco},
title= {Chaos: Versatile and Efficient All-to-all Data Sharing and In-network Processing at Scale},
booktitle= {Proceedings of the 11th ACM Conference on Embedded Networked Sensor Systems},
series= {SenSys '13},
year= {2013},
isbn= {978-1-4503-2027-6},
location= {Roma, Italy},
pages= {1:1--1:14},
articleno= {1},
numpages= {14},
url= {http://doi.acm.org/10.1145/2517351.2517358},
doi= {10.1145/2517351.2517358},
acmid= {2517358},
publisher= {ACM},
address= {New York, NY, USA},
keywords= {all-to-all communication, capture effect, in-network processing, synchronous transmissions, wireless sensor networks},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Andreas Ecke, Michel Ludwig, Dirk Walther, "The Concept Difference for EL-Terminologies using Hypergraphs", Proceedings of the International workshop on (Document)
Changes: modeling, detection, storage and visualization
(DChanges 2013), vol. 1008, 2013. [Bibtex & Downloads]
The Concept Difference for EL-Terminologies using Hypergraphs
Reference
Andreas Ecke, Michel Ludwig, Dirk Walther, "The Concept Difference for EL-Terminologies using Hypergraphs", Proceedings of the International workshop on (Document) Changes: modeling, detection, storage and visualization (DChanges 2013), vol. 1008, 2013.
Bibtex
@inproceedings{ecke13_concep_differ_termin_hyper,
author = {Andreas {Ecke} and Michel {Ludwig} and Dirk {Walther}},
title = {The Concept Difference for {EL}-Terminologies using Hypergraphs},
booktitle = {Proceedings of the International workshop on (Document)
Changes: modeling, detection, storage and visualization
({DChanges 2013})},
year = 2013,
volume = 1008,
series = {CEUR-WS},
venue = {Florence, Italy},
}Downloads
No Downloads available for this publication
Related Paths
Permalink
2012
- Álvaro Fernández-Díaz, Christel Baier, Clara Benac-Earle, Lars-Åke Fredlund, "Static Partial Order Reduction for Probabilistic Concurrent Systems" , Proceedings of the International Conference on Quantitative Evaluation of Systems (QEST), Sep 2012. [Bibtex & Downloads]
Static Partial Order Reduction for Probabilistic Concurrent Systems
Reference
Álvaro Fernández-Díaz, Christel Baier, Clara Benac-Earle, Lars-Åke Fredlund, "Static Partial Order Reduction for Probabilistic Concurrent Systems" , Proceedings of the International Conference on Quantitative Evaluation of Systems (QEST), Sep 2012.
Bibtex
@InProceedings{ auto-key*fc,
author = {Fernández-Díaz, Álvaro and Baier, Christel and Benac-Earle, Clara and Fredlund, Lars-Åke},
title = {Static Partial Order Reduction for Probabilistic Concurrent Systems},
booktitle = {Proceedings of the International Conference on Quantitative Evaluation of Systems (QEST)},
month = sep,
year = {2012},
project = {B03}
}
Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Paolo Zuliani, Christel Baier, Edmund Melson Clarke, "Rare-event verification for stochastic hybrid systems" , Proceedings of the ACM International Conference on Hybrid Systems: Computation and Control (HSCC), Jul 2012. [Bibtex & Downloads]
Rare-event verification for stochastic hybrid systems
Reference
Paolo Zuliani, Christel Baier, Edmund Melson Clarke, "Rare-event verification for stochastic hybrid systems" , Proceedings of the ACM International Conference on Hybrid Systems: Computation and Control (HSCC), Jul 2012.
Bibtex
@InProceedings{ auto-key*fd,
author = {Paolo Zuliani and Christel Baier and Edmund Melson Clarke},
title = {Rare-event verification for stochastic hybrid systems},
booktitle = {Proceedings of the ACM International Conference on Hybrid Systems: Computation and Control (HSCC)},
month = jul,
year = {2012},
project = {B03}
}
Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Tomáš Brázdil, Marcus Größer, Antonín Kučera, "Stochastic game logic" , In Acta Informatica, vol. 49, no. 4, pp. 203-224, Jun 2012. [Bibtex & Downloads]
Stochastic game logic
Reference
Christel Baier, Tomáš Brázdil, Marcus Größer, Antonín Kučera, "Stochastic game logic" , In Acta Informatica, vol. 49, no. 4, pp. 203-224, Jun 2012.
Bibtex
@Article{ auto-key*fe,
author = {Christel Baier and Tomáš Brázdil and Marcus Größer and Antonín Kučera},
title = {Stochastic game logic},
journal = {Acta Informatica},
volume = {49},
number = {4},
pages = {203-224},
month = jun,
year = {2012},
project = {B03}
}
Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- S. Gerbracht, C. Scheunert, E. A. Jorswieck, "Secrecy Outage in MISO Systems With Partial Channel Information", In IEEE Transactions on Information Forensics and Security, vol. 7, no. 2, pp. 704-716, April 2012. [doi] [Bibtex & Downloads]
Secrecy Outage in MISO Systems With Partial Channel Information
Reference
S. Gerbracht, C. Scheunert, E. A. Jorswieck, "Secrecy Outage in MISO Systems With Partial Channel Information", In IEEE Transactions on Information Forensics and Security, vol. 7, no. 2, pp. 704-716, April 2012. [doi]
Bibtex
@article{6112716,
Author = {S. Gerbracht and C. Scheunert and E. A. Jorswieck},
Date-Added = {2016-11-07 06:37:53 +0000},
Date-Modified = {2016-11-07 06:37:53 +0000},
Doi = {10.1109/TIFS.2011.2181946},
Issn = {1556-6013},
Journal = {IEEE Transactions on Information Forensics and Security},
Keywords = {Markov processes;array signal processing;telecommunication security;MISO system;Markov bound;channel state information;cross-layer secrecy concept;eavesdropper channel;flat fading multiple-input single-output wiretap channel;multiple antenna wiretap channel;numerical simulation;partial channel information;passive eavesdropper;secrecy outage probability;secure transmission;single stream beamforming;suboptimal beamforming scheme;Array signal processing;Channel estimation;Fading;Physical layer;Receivers;Transmitters;Vectors;Artificial noise;partial CSI;secrecy outage probability;secrecy rate;wiretap channel},
Month = {April},
Number = {2},
Pages = {704-716},
Title = {Secrecy Outage in MISO Systems With Partial Channel Information},
Volume = {7},
Year = {2012},
Bdsk-Url-1 = {http://dx.doi.org/10.1109/TIFS.2011.2181946}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Waiting for locks: How long does it usually take?", Springer, 2012. [doi] [Bibtex & Downloads]
Waiting for locks: How long does it usually take?
Reference
Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Waiting for locks: How long does it usually take?", Springer, 2012. [doi]
Bibtex
@book{baier2012waiting,
title={Waiting for locks: How long does it usually take?},
author={Baier, Christel and Daum, Marcus and Engel, Benjamin and H{\"a}rtig, Hermann and Klein, Joachim and Kl{\"u}ppelholz, Sascha and M{\"a}rcker, Steffen and Tews, Hendrik and V{\"o}lp, Marcus},
year={2012},
publisher={Springer},
doi={10.1007/978-3-642-32469-7_4}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Chiefly Symmetric: Results on the Scalability
of Probabilistic Model Checking for Operating-System Code", In Proceeding: Proc. of the 7th Conference on
Systems Software Verification (SSV'12), vol. 102, pp. 156–166, 2012. [Bibtex & Downloads]
Chiefly Symmetric: Results on the Scalability of Probabilistic Model Checking for Operating-System Code
Reference
Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Chiefly Symmetric: Results on the Scalability of Probabilistic Model Checking for Operating-System Code", In Proceeding: Proc. of the 7th Conference on Systems Software Verification (SSV'12), vol. 102, pp. 156–166, 2012.
Bibtex
@inproceedings{BDEHKKMTV-SSV12,
author = {Christel Baier, Marcus Daum, Benjamin Engel, Hermann H{\"a}rtig, Joachim Klein, Sascha Kl{\"u}ppelholz, Steffen M{\"a}rcker, Hendrik Tews and Marcus V{\"o}lp},
title = {Chiefly Symmetric: Results on the Scalability
of Probabilistic Model Checking for Operating-System Code},
booktitle = {Proc. of the 7th Conference on
Systems Software Verification (SSV'12)},
year = {2012},
pages = {156--166},
series = {Electronic Proceedings in Theoretical Computer Science},
volume = {102},
ee = {http://dx.doi.org/10.4204/EPTCS.102.14}
}Downloads
No Downloads available for this publication
Related Paths
HAEC, Orchestration Path, Resilience Path
Permalink
- Sebastian Ertel, Christof Fetzer, Michael J. Beckerle, "Brief Announcement: Fast Travellers: Infrastructure-independent Deadlock Resolution in Resource-restricted Distributed Systems", Proceedings of the 26th International Conference on Distributed Computing, Springer-Verlag, pp. 433–434, Berlin, Heidelberg, 2012. [doi] [Bibtex & Downloads]
Brief Announcement: Fast Travellers: Infrastructure-independent Deadlock Resolution in Resource-restricted Distributed Systems
Reference
Sebastian Ertel, Christof Fetzer, Michael J. Beckerle, "Brief Announcement: Fast Travellers: Infrastructure-independent Deadlock Resolution in Resource-restricted Distributed Systems", Proceedings of the 26th International Conference on Distributed Computing, Springer-Verlag, pp. 433–434, Berlin, Heidelberg, 2012. [doi]
Bibtex
@inproceedings{Ertel:2012:BAF:2427873.2427928,
author={Sebastian Ertel and Christof Fetzer and Michael J. Beckerle},
title={Brief Announcement: Fast Travellers: Infrastructure-independent Deadlock Resolution in Resource-restricted Distributed Systems},
booktitle={Proceedings of the 26th International Conference on Distributed Computing},
series={DISC'12},
year={2012},
isbn={978-3-642-33650-8},
location={Salvador, Brazil},
pages={433--434},
numpages={2},
url={http://dx.doi.org/10.1007/978-3-642-33651-5_44},
doi={10.1007/978-3-642-33651-5_44},
acmid={2427928},
publisher={Springer-Verlag},
address={Berlin, Heidelberg},
}Downloads
travellers_disc [PDF]
travellers_disc_presentation [PDF]
Related Paths
Permalink
- Wolfgang Lehner, Gerhard Fettweis, "Technology Time Machine 2012-Paving the path for the future technology developments [includes 9 white papers]", In Proceeding: Technology Time Machine Symposium (TTM), 2012 IEEE, pp. 1–38, 2012. [Bibtex & Downloads]
Technology Time Machine 2012-Paving the path for the future technology developments [includes 9 white papers]
Reference
Wolfgang Lehner, Gerhard Fettweis, "Technology Time Machine 2012-Paving the path for the future technology developments [includes 9 white papers]", In Proceeding: Technology Time Machine Symposium (TTM), 2012 IEEE, pp. 1–38, 2012.
Bibtex
@inproceedings{lehner2012technology,
title={Technology Time Machine 2012-Paving the path for the future technology developments [includes 9 white papers]},
author={Lehner, Wolfgang and Fettweis, Gerhard},
booktitle={Technology Time Machine Symposium (TTM), 2012 IEEE},
pages={1--38},
year={2012},
organization={IEEE}
}Downloads
No Downloads available for this publication
Related Paths
Orchestration Path, Resilience Path
Permalink
Previous Years
- Christof Fetzer, Frezewd Lemma, Thomas Knauth, "Energy-Efficient Storage and Processing in Edge Clouds". [Bibtex & Downloads]
Energy-Efficient Storage and Processing in Edge Clouds
Reference
Christof Fetzer, Frezewd Lemma, Thomas Knauth, "Energy-Efficient Storage and Processing in Edge Clouds".
Bibtex
@article{fetzerenergy,
title={Energy-Efficient Storage and Processing in Edge Clouds},
author={Fetzer, Christof and Lemma, Frezewd and Knauth, Thomas}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Stefan Borgwardt, Theofilos Mailis, Rafael Peñaloza, Anni-Yasmin Turhan, "Conjunctive Query Answering with Finitely Many Truth Degrees⋆". [Bibtex & Downloads]
Conjunctive Query Answering with Finitely Many Truth Degrees⋆
Reference
Stefan Borgwardt, Theofilos Mailis, Rafael Peñaloza, Anni-Yasmin Turhan, "Conjunctive Query Answering with Finitely Many Truth Degrees⋆".
Bibtex
@article{borgwardtconjunctive,
title={Conjunctive Query Answering with Finitely Many Truth Degrees⋆},
author={Borgwardt, Stefan and Mailis, Theofilos and Pe{\~n}aloza, Rafael and Turhan, Anni-Yasmin}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- André Martin, Andrey Brito, Christof Fetzer, "When Stream Processing crosses MapReduce". [Bibtex & Downloads]
When Stream Processing crosses MapReduce
Reference
André Martin, Andrey Brito, Christof Fetzer, "When Stream Processing crosses MapReduce".
Bibtex
@article{martinstream,
title={When Stream Processing crosses MapReduce},
author={Martin, Andr{\'e} and Brito, Andrey and Fetzer, Christof}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Johannes Richter, Jan Hejtmánek, Eduard A Jorswieck, Jan Sykora, "Non-Cooperative Compute-and-Forward Strategies in Gaussian Multi-Source Multi-Relay Networks". [Bibtex & Downloads]
Non-Cooperative Compute-and-Forward Strategies in Gaussian Multi-Source Multi-Relay Networks
Reference
Johannes Richter, Jan Hejtmánek, Eduard A Jorswieck, Jan Sykora, "Non-Cooperative Compute-and-Forward Strategies in Gaussian Multi-Source Multi-Relay Networks".
Bibtex
@article{richternon,
title={Non-Cooperative Compute-and-Forward Strategies in Gaussian Multi-Source Multi-Relay Networks},
author={Richter, Johannes and Hejtm{\'a}nek, Jan and Jorswieck, Eduard A and Sykora, Jan}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- E. Jorswieck, L. Lai, W. K. Ma, H. V. Poor, W. Saad, A. L. Swindlehurst, "Guest Editorial: Signal Processing for Wireless Physical Layer Security", In IEEE Journal on Selected Areas in Communications. [Bibtex & Downloads]
Guest Editorial: Signal Processing for Wireless Physical Layer Security
Reference
E. Jorswieck, L. Lai, W. K. Ma, H. V. Poor, W. Saad, A. L. Swindlehurst, "Guest Editorial: Signal Processing for Wireless Physical Layer Security", In IEEE Journal on Selected Areas in Communications.
Bibtex
@article{6584927,
Author = {E. Jorswieck and L. Lai and W. K. Ma and H. V. Poor and W. Saad and A. L. Swindlehurst},
Date-Added = {2016-11-07 06:46:26 +0000},
Date-Modified = {2016-11-07 06:46:26 +0000},
Journal = {IEEE Journal on Selected Areas in Communications},
Title = {Guest Editorial: Signal Processing for Wireless Physical Layer Security}}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Dorian Merz, Rafael Penaloza, Anni-Yasmin Turhan, "Reasoning in 긔 Lc with Fuzzy Concrete Domains", In KI 2014: Advances in Artificial Intelligence, Springer, pp. 171. [Bibtex & Downloads]
Reasoning in 긔 Lc with Fuzzy Concrete Domains
Reference
Dorian Merz, Rafael Penaloza, Anni-Yasmin Turhan, "Reasoning in 긔 Lc with Fuzzy Concrete Domains", In KI 2014: Advances in Artificial Intelligence, Springer, pp. 171.
Bibtex
@article{merz2014reasoning,
title={Reasoning in 긔 Lc with Fuzzy Concrete Domains},
author={Merz, Dorian and Penaloza, Rafael and Turhan, Anni-Yasmin},
journal={KI 2014: Advances in Artificial Intelligence},
pages={171},
publisher={Springer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- "Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers, author=Ghiasvand, Siavash and Ciorba, Florina M and Tschueter, Ronny and Nagel, Wolfgang E" , In Proceeding: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), pages=377–381, year=2016, organization=IEEE month=feb, doi=10.1109/pdp.2016.101, url=http://ieeexplore.ieee.org/document/7445361/. [Bibtex & Downloads]
Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers, author=Ghiasvand, Siavash and Ciorba, Florina M and Tschueter, Ronny and Nagel, Wolfgang E
Reference
"Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers, author=Ghiasvand, Siavash and Ciorba, Florina M and Tschueter, Ronny and Nagel, Wolfgang E" , In Proceeding: 2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP), pages=377–381, year=2016, organization=IEEE month=feb, doi=10.1109/pdp.2016.101, url=http://ieeexplore.ieee.org/document/7445361/.
Bibtex
@inproceedings{ghiasvand2016lessons,
title={Lessons Learned from Spatial and Temporal Correlation of Node Failures in High Performance Computers}, author={Ghiasvand, Siavash and Ciorba, Florina M and Tschueter, Ronny and Nagel, Wolfgang E},
booktitle={2016 24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing (PDP)}, pages={377--381}, year={2016}, organization={IEEE} month=feb, doi={10.1109/pdp.2016.101}, url={http://ieeexplore.ieee.org/document/7445361/},
}
Downloads
No Downloads available for this publication
Related Paths
Permalink
- André Martin, Andrey Brito, Christof Fetzer, "Elastic and Secure Energy Forecasting in Cloud Environments". [Bibtex & Downloads]
Elastic and Secure Energy Forecasting in Cloud Environments
Reference
André Martin, Andrey Brito, Christof Fetzer, "Elastic and Secure Energy Forecasting in Cloud Environments".
Bibtex
@article{martinelastic,
title={Elastic and Secure Energy Forecasting in Cloud Environments},
author={Martin, Andr{\'e} and Brito, Andrey and Fetzer, Christof}
}Downloads
No Downloads available for this publication
Related Paths
Resilience Path, Resilience Path
Permalink
- Fabian Mager, Johannes Neumann, Carsten Herrmann, Marco Zimmerling, Frank Fitzek, "All-to-all Communication in Multi-hop Wireless Networks with Mixer". [Bibtex & Downloads]
All-to-all Communication in Multi-hop Wireless Networks with Mixer
Reference
Fabian Mager, Johannes Neumann, Carsten Herrmann, Marco Zimmerling, Frank Fitzek, "All-to-all Communication in Multi-hop Wireless Networks with Mixer".
Bibtex
@article{magerall,
title={All-to-all Communication in Multi-hop Wireless Networks with Mixer},
author={Mager, Fabian and Neumann, Johannes and Herrmann, Carsten and Zimmerling, Marco and Fitzek, Frank}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Matthias Werner, Till Kolditz, Tomas Karnagel, Dirk Habich, Wolfgang Lehner, "Multi-GPU Approximation Methods for Silent Data Corruption of AN Codes". [Bibtex & Downloads]
Multi-GPU Approximation Methods for Silent Data Corruption of AN Codes
Reference
Matthias Werner, Till Kolditz, Tomas Karnagel, Dirk Habich, Wolfgang Lehner, "Multi-GPU Approximation Methods for Silent Data Corruption of AN Codes".
Bibtex
@article{wernermulti,
title={Multi-GPU Approximation Methods for Silent Data Corruption of AN Codes},
author={Werner, Matthias and Kolditz, Till and Karnagel, Tomas and Habich, Dirk and Lehner, Wolfgang}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Tobias Stumpf, "How to Protect the Protector?", Proceedings of the th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’), Student Forum. IEEE Computer Society Press, June.(Cited on page.). [Bibtex & Downloads]
How to Protect the Protector?
Reference
Tobias Stumpf, "How to Protect the Protector?", Proceedings of the th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’), Student Forum. IEEE Computer Society Press, June.(Cited on page.).
Bibtex
@inproceedings{stumpfprotect,
title={How to Protect the Protector?},
author={Stumpf, Tobias},
booktitle={Proceedings of the th IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’), Student Forum. IEEE Computer Society Press, June.(Cited on page.)}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Michel Ludwig, Boris Konev, "Towards Practical Uniform Interpolation and Forgetting for 긔 Lc TBoxes". [Bibtex & Downloads]
Towards Practical Uniform Interpolation and Forgetting for 긔 Lc TBoxes
Reference
Michel Ludwig, Boris Konev, "Towards Practical Uniform Interpolation and Forgetting for 긔 Lc TBoxes".
Bibtex
@article{ludwigtowards,
title={Towards Practical Uniform Interpolation and Forgetting for 긔 Lc TBoxes},
author={Ludwig, Michel and Konev, Boris}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Ulf Wetzker, Ingmar Splitt, Marco Zimmerling, Carlo Alberto Boano, Kay Römer, "Troubleshooting Wireless Coexistence Problems in the Industrial Internet of Things". [Bibtex & Downloads]
Troubleshooting Wireless Coexistence Problems in the Industrial Internet of Things
Reference
Ulf Wetzker, Ingmar Splitt, Marco Zimmerling, Carlo Alberto Boano, Kay Römer, "Troubleshooting Wireless Coexistence Problems in the Industrial Internet of Things".
Bibtex
@article{wetzkertroubleshooting,
title={Troubleshooting Wireless Coexistence Problems in the Industrial Internet of Things},
author={Wetzker, Ulf and Splitt, Ingmar and Zimmerling, Marco and Boano, Carlo Alberto and R{\"o}mer, Kay}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, Pavlos Marantidis, Alexander Okhotin, "Approximate Unification in the Description Logic FL0". [Bibtex & Downloads]
Approximate Unification in the Description Logic FL0
Reference
Franz Baader, Pavlos Marantidis, Alexander Okhotin, "Approximate Unification in the Description Logic FL0".
Bibtex
@article{baaderapproximate,
title={Approximate Unification in the Description Logic FL0},
author={Baader, Franz and Marantidis, Pavlos and Okhotin, Alexander}
}Downloads
No Downloads available for this publication
Related Paths
Permalink
- Franz Baader, Stefan Borgwardt, Rafael Peñaloza, "On the Decidability Status of Fuzzy 긔 Lc with General Concept Inclusions", Citeseer. [Bibtex & Downloads]
On the Decidability Status of Fuzzy 긔 Lc with General Concept Inclusions
Reference
Franz Baader, Stefan Borgwardt, Rafael Peñaloza, "On the Decidability Status of Fuzzy 긔 Lc with General Concept Inclusions", Citeseer.
Bibtex
@article{baaderdecidability,
title={On the Decidability Status of Fuzzy 긔 Lc with General Concept Inclusions},
author={Baader, Franz and Borgwardt, Stefan and Pe{\~n}aloza, Rafael},
publisher={Citeseer}
}Downloads
No Downloads available for this publication
Related Paths
Permalink